Timing Analyzer report for DE1_NIOS Mon Sep 11 03:28:40 2006 Version 6.0 Build 202 06/20/2006 Service Pack 1 SJ Full Version --------------------- ; Table of Contents ; --------------------- 1. Legal Notice 2. Timing Analyzer Summary 3. Timing Analyzer Settings 4. Clock Settings Summary 5. Clock Setup: 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1' 6. Clock Setup: 'CLOCK_50' 7. Clock Setup: 'altera_internal_jtag~TCKUTAP' 8. Clock Hold: 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1' 9. Clock Hold: 'CLOCK_50' 10. tsu 11. tco 12. tpd 13. th 14. Ignored Timing Assignments 15. Timing Analyzer Messages ---------------- ; Legal Notice ; ---------------- Copyright (C) 1991-2006 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Timing Analyzer Summary ; +-------------------------------------------------------------+-----------+-----------------------------------+----------------------------------+---------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------+----------------------------------------------+--------------+ ; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; +-------------------------------------------------------------+-----------+-----------------------------------+----------------------------------+---------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------+----------------------------------------------+--------------+ ; Worst-case tsu ; N/A ; None ; 11.751 ns ; SRAM_DQ[3] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[19] ; -- ; CLOCK_50 ; 0 ; ; Worst-case tco ; N/A ; None ; 11.994 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[14] ; CLOCK_50 ; -- ; 0 ; ; Worst-case tpd ; N/A ; None ; 2.810 ns ; altera_internal_jtag~TDO ; altera_reserved_tdo ; -- ; -- ; 0 ; ; Worst-case th ; N/A ; None ; 3.496 ns ; altera_internal_jtag ; sld_hub:sld_hub_inst|lpm_shiftreg:jtag_ir_register|dffs[9] ; -- ; altera_internal_jtag~TCKUTAP ; 0 ; ; Clock Setup: 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1' ; 0.911 ns ; 100.00 MHz ( period = 10.000 ns ) ; 110.02 MHz ( period = 9.089 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0 ; ; Clock Setup: 'CLOCK_50' ; 15.201 ns ; 50.00 MHz ( period = 20.000 ns ) ; 208.38 MHz ( period = 4.799 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 0 ; ; Clock Setup: 'altera_internal_jtag~TCKUTAP' ; N/A ; None ; 107.87 MHz ( period = 9.270 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; 0 ; ; Clock Hold: 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1' ; 0.445 ns ; 100.00 MHz ( period = 10.000 ns ) ; N/A ; system_0:u0|sdram_0:the_sdram_0|i_cmd[3] ; system_0:u0|sdram_0:the_sdram_0|i_cmd[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0 ; ; Clock Hold: 'CLOCK_50' ; 0.445 ns ; 50.00 MHz ( period = 20.000 ns ) ; N/A ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[0] ; CLOCK_50 ; CLOCK_50 ; 0 ; ; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; +-------------------------------------------------------------+-----------+-----------------------------------+----------------------------------+---------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------+----------------------------------------------+--------------+ +-----------------------------------------------------------------------------------------------------------------------------------------+ ; Timing Analyzer Settings ; +-------------------------------------------------------+--------------------+------+------------+----------------------------------------+ ; Option ; Setting ; From ; To ; Entity Name ; +-------------------------------------------------------+--------------------+------+------------+----------------------------------------+ ; Device Name ; EP2C20F484C7 ; ; ; ; ; Timing Models ; Final ; ; ; ; ; Number of source nodes to report per destination node ; 10 ; ; ; ; ; Number of destination nodes to report ; 10 ; ; ; ; ; Number of paths to report ; 200 ; ; ; ; ; Report Minimum Timing Checks ; Off ; ; ; ; ; Use Fast Timing Models ; Off ; ; ; ; ; Report IO Paths Separately ; Off ; ; ; ; ; Default hold multicycle ; Same As Multicycle ; ; ; ; ; Cut paths between unrelated clock domains ; On ; ; ; ; ; Cut off read during write signal paths ; On ; ; ; ; ; Cut off feedback from I/O pins ; On ; ; ; ; ; Report Combined Fast/Slow Timing ; Off ; ; ; ; ; Ignore Clock Settings ; Off ; ; ; ; ; Analyze latches as synchronous elements ; On ; ; ; ; ; Enable Recovery/Removal analysis ; Off ; ; ; ; ; Enable Clock Latency ; Off ; ; ; ; ; Use TimeQuest Timing Analyzer ; Off ; ; ; ; ; Maximum Delay ; 100ns ; ; data_in_d1 ; system_0_reset_clk_domain_synch_module ; +-------------------------------------------------------+--------------------+------+------------+----------------------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Clock Settings Summary ; +----------------------------------------------+--------------------+------------+------------------+---------------+--------------+----------+-----------------------+---------------------+-----------+--------------+ ; Clock Node Name ; Clock Setting Name ; Type ; Fmax Requirement ; Early Latency ; Late Latency ; Based on ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset ; Phase offset ; +----------------------------------------------+--------------------+------------+------------------+---------------+--------------+----------+-----------------------+---------------------+-----------+--------------+ ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk0 ; ; PLL output ; 100.0 MHz ; 0.000 ns ; 0.000 ns ; CLOCK_50 ; 2 ; 1 ; -5.419 ns ; ; ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; ; PLL output ; 100.0 MHz ; 0.000 ns ; 0.000 ns ; CLOCK_50 ; 2 ; 1 ; -2.419 ns ; ; ; CLOCK_50 ; ; User Pin ; 50.0 MHz ; 0.000 ns ; 0.000 ns ; -- ; N/A ; N/A ; N/A ; ; ; altera_internal_jtag~UPDATEUSER ; ; User Pin ; None ; 0.000 ns ; 0.000 ns ; -- ; N/A ; N/A ; N/A ; ; ; altera_internal_jtag~TCKUTAP ; ; User Pin ; None ; 0.000 ns ; 0.000 ns ; -- ; N/A ; N/A ; N/A ; ; +----------------------------------------------+--------------------+------------+------------------+---------------+--------------+----------+-----------------------+---------------------+-----------+--------------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Clock Setup: 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1' ; +-----------------------------------------+-----------------------------------------------------+-------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------+----------------------------------------------+----------------------------------------------+-----------------------------+---------------------------+-------------------------+ ; Slack ; Actual fmax (period) ; From ; To ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ; +-----------------------------------------+-----------------------------------------------------+-------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------+----------------------------------------------+----------------------------------------------+-----------------------------+---------------------------+-------------------------+ ; 0.911 ns ; 110.02 MHz ( period = 9.089 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.855 ns ; ; 0.911 ns ; 110.02 MHz ( period = 9.089 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.855 ns ; ; 0.950 ns ; 110.50 MHz ( period = 9.050 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.816 ns ; ; 0.950 ns ; 110.50 MHz ( period = 9.050 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.816 ns ; ; 0.978 ns ; 110.84 MHz ( period = 9.022 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.769 ns ; 8.791 ns ; ; 1.017 ns ; 111.32 MHz ( period = 8.983 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.769 ns ; 8.752 ns ; ; 1.096 ns ; 112.31 MHz ( period = 8.904 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.690 ns ; ; 1.135 ns ; 112.80 MHz ( period = 8.865 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.651 ns ; ; 1.143 ns ; 112.91 MHz ( period = 8.857 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.769 ns ; 8.626 ns ; ; 1.163 ns ; 113.16 MHz ( period = 8.837 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[8] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.775 ns ; 8.612 ns ; ; 1.177 ns ; 113.34 MHz ( period = 8.823 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.609 ns ; ; 1.177 ns ; 113.34 MHz ( period = 8.823 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.609 ns ; ; 1.182 ns ; 113.40 MHz ( period = 8.818 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.769 ns ; 8.587 ns ; ; 1.184 ns ; 113.43 MHz ( period = 8.816 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[10] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.602 ns ; ; 1.184 ns ; 113.43 MHz ( period = 8.816 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.602 ns ; ; 1.184 ns ; 113.43 MHz ( period = 8.816 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.602 ns ; ; 1.184 ns ; 113.43 MHz ( period = 8.816 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.602 ns ; ; 1.184 ns ; 113.43 MHz ( period = 8.816 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.602 ns ; ; 1.202 ns ; 113.66 MHz ( period = 8.798 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[8] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.775 ns ; 8.573 ns ; ; 1.215 ns ; 113.83 MHz ( period = 8.785 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.551 ns ; ; 1.215 ns ; 113.83 MHz ( period = 8.785 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.551 ns ; ; 1.216 ns ; 113.84 MHz ( period = 8.784 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.570 ns ; ; 1.216 ns ; 113.84 MHz ( period = 8.784 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.570 ns ; ; 1.223 ns ; 113.93 MHz ( period = 8.777 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[10] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.563 ns ; ; 1.223 ns ; 113.93 MHz ( period = 8.777 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.563 ns ; ; 1.223 ns ; 113.93 MHz ( period = 8.777 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.563 ns ; ; 1.223 ns ; 113.93 MHz ( period = 8.777 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.563 ns ; ; 1.223 ns ; 113.93 MHz ( period = 8.777 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.563 ns ; ; 1.236 ns ; 114.10 MHz ( period = 8.764 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.530 ns ; ; 1.243 ns ; 114.19 MHz ( period = 8.757 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.762 ns ; 8.519 ns ; ; 1.275 ns ; 114.61 MHz ( period = 8.725 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.491 ns ; ; 1.278 ns ; 114.65 MHz ( period = 8.722 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[2] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.488 ns ; ; 1.278 ns ; 114.65 MHz ( period = 8.722 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[2] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.488 ns ; ; 1.282 ns ; 114.71 MHz ( period = 8.718 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.769 ns ; 8.487 ns ; ; 1.284 ns ; 114.73 MHz ( period = 8.716 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.476 ns ; ; 1.284 ns ; 114.73 MHz ( period = 8.716 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.476 ns ; ; 1.284 ns ; 114.73 MHz ( period = 8.716 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.476 ns ; ; 1.284 ns ; 114.73 MHz ( period = 8.716 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_offset[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.476 ns ; ; 1.284 ns ; 114.73 MHz ( period = 8.716 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_offset[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.476 ns ; ; 1.284 ns ; 114.73 MHz ( period = 8.716 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_offset[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.476 ns ; ; 1.284 ns ; 114.73 MHz ( period = 8.716 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.476 ns ; ; 1.295 ns ; 114.88 MHz ( period = 8.705 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.746 ns ; 8.451 ns ; ; 1.301 ns ; 114.96 MHz ( period = 8.699 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.767 ns ; 8.466 ns ; ; 1.311 ns ; 115.09 MHz ( period = 8.689 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[9] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.754 ns ; 8.443 ns ; ; 1.316 ns ; 115.15 MHz ( period = 8.684 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[10] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.753 ns ; 8.437 ns ; ; 1.317 ns ; 115.17 MHz ( period = 8.683 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[14] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.442 ns ; ; 1.318 ns ; 115.18 MHz ( period = 8.682 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[4] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.441 ns ; ; 1.318 ns ; 115.18 MHz ( period = 8.682 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[4] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.441 ns ; ; 1.321 ns ; 115.22 MHz ( period = 8.679 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.445 ns ; ; 1.321 ns ; 115.22 MHz ( period = 8.679 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.445 ns ; ; 1.321 ns ; 115.22 MHz ( period = 8.679 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[13] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.767 ns ; 8.446 ns ; ; 1.323 ns ; 115.25 MHz ( period = 8.677 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.437 ns ; ; 1.323 ns ; 115.25 MHz ( period = 8.677 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.437 ns ; ; 1.323 ns ; 115.25 MHz ( period = 8.677 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.437 ns ; ; 1.323 ns ; 115.25 MHz ( period = 8.677 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_offset[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.437 ns ; ; 1.323 ns ; 115.25 MHz ( period = 8.677 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_offset[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.437 ns ; ; 1.323 ns ; 115.25 MHz ( period = 8.677 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_offset[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.437 ns ; ; 1.323 ns ; 115.25 MHz ( period = 8.677 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.437 ns ; ; 1.336 ns ; 115.42 MHz ( period = 8.664 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[13] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[10] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.753 ns ; 8.417 ns ; ; 1.339 ns ; 115.46 MHz ( period = 8.661 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[3] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.420 ns ; ; 1.339 ns ; 115.46 MHz ( period = 8.661 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[3] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.420 ns ; ; 1.343 ns ; 115.51 MHz ( period = 8.657 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[10] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.416 ns ; ; 1.345 ns ; 115.54 MHz ( period = 8.655 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[2] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.769 ns ; 8.424 ns ; ; 1.348 ns ; 115.58 MHz ( period = 8.652 ns ) ; system_0:u0|sdram_0:the_sdram_0|sdram_0_input_efifo_module:the_sdram_0_input_efifo_module|entry_1[33] ; system_0:u0|sdram_0:the_sdram_0|m_data[11] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.454 ns ; 8.106 ns ; ; 1.349 ns ; 115.59 MHz ( period = 8.651 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.762 ns ; 8.413 ns ; ; 1.350 ns ; 115.61 MHz ( period = 8.650 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[9] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.754 ns ; 8.404 ns ; ; 1.353 ns ; 115.65 MHz ( period = 8.647 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.402 ns ; ; 1.354 ns ; 115.66 MHz ( period = 8.646 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.401 ns ; ; 1.355 ns ; 115.67 MHz ( period = 8.645 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.400 ns ; ; 1.356 ns ; 115.69 MHz ( period = 8.644 ns ) ; system_0:u0|sdram_0:the_sdram_0|sdram_0_input_efifo_module:the_sdram_0_input_efifo_module|entry_1[33] ; system_0:u0|sdram_0:the_sdram_0|m_data[9] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.456 ns ; 8.100 ns ; ; 1.365 ns ; 115.81 MHz ( period = 8.635 ns ) ; system_0:u0|sdram_0:the_sdram_0|sdram_0_input_efifo_module:the_sdram_0_input_efifo_module|entry_1[33] ; system_0:u0|sdram_0:the_sdram_0|m_data[8] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.456 ns ; 8.091 ns ; ; 1.367 ns ; 115.83 MHz ( period = 8.633 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_read ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.756 ns ; 8.389 ns ; ; 1.367 ns ; 115.83 MHz ( period = 8.633 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.419 ns ; ; 1.372 ns ; 115.90 MHz ( period = 8.628 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.773 ns ; 8.401 ns ; ; 1.373 ns ; 115.92 MHz ( period = 8.627 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[13] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.382 ns ; ; 1.374 ns ; 115.93 MHz ( period = 8.626 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[13] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.381 ns ; ; 1.375 ns ; 115.94 MHz ( period = 8.625 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[13] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.380 ns ; ; 1.382 ns ; 116.04 MHz ( period = 8.618 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[10] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.377 ns ; ; 1.385 ns ; 116.08 MHz ( period = 8.615 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[4] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.762 ns ; 8.377 ns ; ; 1.388 ns ; 116.12 MHz ( period = 8.612 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.769 ns ; 8.381 ns ; ; 1.394 ns ; 116.20 MHz ( period = 8.606 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.365 ns ; ; 1.395 ns ; 116.21 MHz ( period = 8.605 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.364 ns ; ; 1.396 ns ; 116.23 MHz ( period = 8.604 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.767 ns ; 8.371 ns ; ; 1.399 ns ; 116.27 MHz ( period = 8.601 ns ) ; system_0:u0|sdram_0:the_sdram_0|sdram_0_input_efifo_module:the_sdram_0_input_efifo_module|entry_1[33] ; system_0:u0|sdram_0:the_sdram_0|m_data[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.451 ns ; 8.052 ns ; ; 1.400 ns ; 116.28 MHz ( period = 8.600 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.386 ns ; ; 1.401 ns ; 116.29 MHz ( period = 8.599 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.746 ns ; 8.345 ns ; ; 1.406 ns ; 116.36 MHz ( period = 8.594 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[3] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.762 ns ; 8.356 ns ; ; 1.406 ns ; 116.36 MHz ( period = 8.594 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.380 ns ; ; 1.407 ns ; 116.37 MHz ( period = 8.593 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[9] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.359 ns ; ; 1.408 ns ; 116.39 MHz ( period = 8.592 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.746 ns ; 8.338 ns ; ; 1.411 ns ; 116.43 MHz ( period = 8.589 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[10] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.753 ns ; 8.342 ns ; ; 1.411 ns ; 116.43 MHz ( period = 8.589 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.773 ns ; 8.362 ns ; ; 1.415 ns ; 116.48 MHz ( period = 8.585 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.767 ns ; 8.352 ns ; ; 1.419 ns ; 116.54 MHz ( period = 8.581 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_read ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.740 ns ; 8.321 ns ; ; 1.421 ns ; 116.56 MHz ( period = 8.579 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.780 ns ; 8.359 ns ; ; 1.423 ns ; 116.59 MHz ( period = 8.577 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[14] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.336 ns ; ; 1.424 ns ; 116.60 MHz ( period = 8.576 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.761 ns ; 8.337 ns ; ; 1.425 ns ; 116.62 MHz ( period = 8.575 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.761 ns ; 8.336 ns ; ; 1.426 ns ; 116.63 MHz ( period = 8.574 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.761 ns ; 8.335 ns ; ; 1.429 ns ; 116.67 MHz ( period = 8.571 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[2] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.770 ns ; 8.341 ns ; ; 1.430 ns ; 116.69 MHz ( period = 8.570 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[10] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.753 ns ; 8.323 ns ; ; 1.433 ns ; 116.73 MHz ( period = 8.567 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.326 ns ; ; 1.434 ns ; 116.74 MHz ( period = 8.566 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.325 ns ; ; 1.434 ns ; 116.74 MHz ( period = 8.566 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.770 ns ; 8.336 ns ; ; 1.441 ns ; 116.84 MHz ( period = 8.559 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_read ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[14] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.753 ns ; 8.312 ns ; ; 1.441 ns ; 116.84 MHz ( period = 8.559 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[12] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.314 ns ; ; 1.441 ns ; 116.84 MHz ( period = 8.559 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[11] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.314 ns ; ; 1.446 ns ; 116.90 MHz ( period = 8.554 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[9] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.320 ns ; ; 1.447 ns ; 116.92 MHz ( period = 8.553 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.746 ns ; 8.299 ns ; ; 1.447 ns ; 116.92 MHz ( period = 8.553 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.769 ns ; 8.322 ns ; ; 1.448 ns ; 116.93 MHz ( period = 8.552 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.307 ns ; ; 1.449 ns ; 116.95 MHz ( period = 8.551 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.306 ns ; ; 1.450 ns ; 116.96 MHz ( period = 8.550 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.767 ns ; 8.317 ns ; ; 1.450 ns ; 116.96 MHz ( period = 8.550 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.305 ns ; ; 1.451 ns ; 116.97 MHz ( period = 8.549 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[1] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.315 ns ; ; 1.451 ns ; 116.97 MHz ( period = 8.549 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[1] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.315 ns ; ; 1.457 ns ; 117.05 MHz ( period = 8.543 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[31] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.756 ns ; 8.299 ns ; ; 1.460 ns ; 117.10 MHz ( period = 8.540 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.780 ns ; 8.320 ns ; ; 1.461 ns ; 117.11 MHz ( period = 8.539 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[13] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[12] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.294 ns ; ; 1.461 ns ; 117.11 MHz ( period = 8.539 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[13] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[11] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.294 ns ; ; 1.463 ns ; 117.14 MHz ( period = 8.537 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[2] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.323 ns ; ; 1.463 ns ; 117.14 MHz ( period = 8.537 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.761 ns ; 8.298 ns ; ; 1.464 ns ; 117.15 MHz ( period = 8.536 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.761 ns ; 8.297 ns ; ; 1.465 ns ; 117.16 MHz ( period = 8.535 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[10] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.753 ns ; 8.288 ns ; ; 1.465 ns ; 117.16 MHz ( period = 8.535 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.761 ns ; 8.296 ns ; ; 1.465 ns ; 117.16 MHz ( period = 8.535 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_slavearbiterlockenable ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.768 ns ; 8.303 ns ; ; 1.467 ns ; 117.19 MHz ( period = 8.533 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[8] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.775 ns ; 8.308 ns ; ; 1.467 ns ; 117.19 MHz ( period = 8.533 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.288 ns ; ; 1.468 ns ; 117.21 MHz ( period = 8.532 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.287 ns ; ; 1.469 ns ; 117.22 MHz ( period = 8.531 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.286 ns ; ; 1.469 ns ; 117.22 MHz ( period = 8.531 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.770 ns ; 8.301 ns ; ; 1.473 ns ; 117.27 MHz ( period = 8.527 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.770 ns ; 8.297 ns ; ; 1.477 ns ; 117.33 MHz ( period = 8.523 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[13] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[31] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.756 ns ; 8.279 ns ; ; 1.478 ns ; 117.34 MHz ( period = 8.522 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[19] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.281 ns ; ; 1.480 ns ; 117.37 MHz ( period = 8.520 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[23] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.280 ns ; ; 1.481 ns ; 117.38 MHz ( period = 8.519 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[2] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.754 ns ; 8.273 ns ; ; 1.481 ns ; 117.38 MHz ( period = 8.519 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.305 ns ; ; 1.481 ns ; 117.38 MHz ( period = 8.519 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.305 ns ; ; 1.488 ns ; 117.48 MHz ( period = 8.512 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[10] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.298 ns ; ; 1.488 ns ; 117.48 MHz ( period = 8.512 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.298 ns ; ; 1.488 ns ; 117.48 MHz ( period = 8.512 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.298 ns ; ; 1.488 ns ; 117.48 MHz ( period = 8.512 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.298 ns ; ; 1.488 ns ; 117.48 MHz ( period = 8.512 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.298 ns ; ; 1.493 ns ; 117.55 MHz ( period = 8.507 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[20] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.745 ns ; 8.252 ns ; ; 1.496 ns ; 117.59 MHz ( period = 8.504 ns ) ; system_0:u0|cpu_0:the_cpu_0|i_read ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.270 ns ; ; 1.496 ns ; 117.59 MHz ( period = 8.504 ns ) ; system_0:u0|cpu_0:the_cpu_0|i_read ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.270 ns ; ; 1.496 ns ; 117.59 MHz ( period = 8.504 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.767 ns ; 8.271 ns ; ; 1.498 ns ; 117.62 MHz ( period = 8.502 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[16] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.745 ns ; 8.247 ns ; ; 1.501 ns ; 117.66 MHz ( period = 8.499 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.756 ns ; 8.255 ns ; ; 1.502 ns ; 117.67 MHz ( period = 8.498 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.253 ns ; ; 1.503 ns ; 117.69 MHz ( period = 8.497 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[2] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[14] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.767 ns ; 8.264 ns ; ; 1.503 ns ; 117.69 MHz ( period = 8.497 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[4] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.779 ns ; 8.276 ns ; ; 1.503 ns ; 117.69 MHz ( period = 8.497 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.252 ns ; ; 1.504 ns ; 117.70 MHz ( period = 8.496 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.251 ns ; ; 1.506 ns ; 117.73 MHz ( period = 8.494 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.280 ns ; ; 1.507 ns ; 117.74 MHz ( period = 8.493 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[21] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.767 ns ; 8.260 ns ; ; 1.508 ns ; 117.76 MHz ( period = 8.492 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.770 ns ; 8.262 ns ; ; 1.510 ns ; 117.79 MHz ( period = 8.490 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[2] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.769 ns ; 8.259 ns ; ; 1.511 ns ; 117.80 MHz ( period = 8.489 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[9] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.783 ns ; 8.272 ns ; ; 1.511 ns ; 117.80 MHz ( period = 8.489 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[14] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.783 ns ; 8.272 ns ; ; 1.511 ns ; 117.80 MHz ( period = 8.489 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.783 ns ; 8.272 ns ; ; 1.511 ns ; 117.80 MHz ( period = 8.489 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.783 ns ; 8.272 ns ; ; 1.511 ns ; 117.80 MHz ( period = 8.489 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[12] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.783 ns ; 8.272 ns ; ; 1.511 ns ; 117.80 MHz ( period = 8.489 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[11] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.783 ns ; 8.272 ns ; ; 1.512 ns ; 117.81 MHz ( period = 8.488 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[12] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.761 ns ; 8.249 ns ; ; 1.512 ns ; 117.81 MHz ( period = 8.488 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[11] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.761 ns ; 8.249 ns ; ; 1.513 ns ; 117.83 MHz ( period = 8.487 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[9] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.783 ns ; 8.270 ns ; ; 1.513 ns ; 117.83 MHz ( period = 8.487 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[13] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[20] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.745 ns ; 8.232 ns ; ; 1.516 ns ; 117.87 MHz ( period = 8.484 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[13] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.767 ns ; 8.251 ns ; ; 1.517 ns ; 117.88 MHz ( period = 8.483 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[19] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.759 ns ; 8.242 ns ; ; 1.518 ns ; 117.90 MHz ( period = 8.482 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[13] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[16] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.745 ns ; 8.227 ns ; ; 1.518 ns ; 117.90 MHz ( period = 8.482 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[1] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.769 ns ; 8.251 ns ; ; 1.519 ns ; 117.91 MHz ( period = 8.481 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[0] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[23] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.241 ns ; ; 1.520 ns ; 117.92 MHz ( period = 8.480 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.756 ns ; 8.236 ns ; ; 1.522 ns ; 117.95 MHz ( period = 8.478 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[21] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[10] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.753 ns ; 8.231 ns ; ; 1.523 ns ; 117.97 MHz ( period = 8.477 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[12] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.761 ns ; 8.238 ns ; ; 1.524 ns ; 117.98 MHz ( period = 8.476 ns ) ; system_0:u0|cpu_0:the_cpu_0|i_read ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.762 ns ; 8.238 ns ; ; 1.524 ns ; 117.98 MHz ( period = 8.476 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[3] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|data_to_cpu[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.779 ns ; 8.255 ns ; ; 1.525 ns ; 117.99 MHz ( period = 8.475 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.768 ns ; 8.243 ns ; ; 1.528 ns ; 118.04 MHz ( period = 8.472 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[31] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.762 ns ; 8.234 ns ; ; 1.530 ns ; 118.06 MHz ( period = 8.470 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[2] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[8] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.775 ns ; 8.245 ns ; ; 1.531 ns ; 118.08 MHz ( period = 8.469 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.229 ns ; ; 1.531 ns ; 118.08 MHz ( period = 8.469 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.760 ns ; 8.229 ns ; ; 1.531 ns ; 118.08 MHz ( period = 8.469 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[8] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.748 ns ; 8.217 ns ; ; 1.533 ns ; 118.11 MHz ( period = 8.467 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|EOP ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.775 ns ; 8.242 ns ; ; 1.536 ns ; 118.15 MHz ( period = 8.464 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[12] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.219 ns ; ; 1.536 ns ; 118.15 MHz ( period = 8.464 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[11] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.755 ns ; 8.219 ns ; ; 1.538 ns ; 118.18 MHz ( period = 8.462 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_write ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.756 ns ; 8.218 ns ; ; 1.540 ns ; 118.20 MHz ( period = 8.460 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.766 ns ; 8.226 ns ; ; 1.540 ns ; 118.20 MHz ( period = 8.460 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[14] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.768 ns ; 8.228 ns ; ; 1.544 ns ; 118.26 MHz ( period = 8.456 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[2] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.242 ns ; ; 1.544 ns ; 118.26 MHz ( period = 8.456 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[2] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.786 ns ; 8.242 ns ; ; 1.545 ns ; 118.27 MHz ( period = 8.455 ns ) ; system_0:u0|cpu_0:the_cpu_0|d_address[13] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.768 ns ; 8.223 ns ; ; 1.548 ns ; 118.32 MHz ( period = 8.452 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_8_reg_segment_2[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.751 ns ; 8.203 ns ; ; 1.548 ns ; 118.32 MHz ( period = 8.452 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_8_reg_segment_2[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.751 ns ; 8.203 ns ; ; 1.548 ns ; 118.32 MHz ( period = 8.452 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_8_reg_segment_2[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.751 ns ; 8.203 ns ; ; 1.548 ns ; 118.32 MHz ( period = 8.452 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_8_reg_segment_2[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.751 ns ; 8.203 ns ; ; 1.548 ns ; 118.32 MHz ( period = 8.452 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_8_reg_segment_2[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.751 ns ; 8.203 ns ; ; 1.548 ns ; 118.32 MHz ( period = 8.452 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_8_reg_segment_2[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.751 ns ; 8.203 ns ; ; 1.548 ns ; 118.32 MHz ( period = 8.452 ns ) ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_8_reg_segment_2[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 10.000 ns ; 9.751 ns ; 8.203 ns ; ; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; ; +-----------------------------------------+-----------------------------------------------------+-------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------+----------------------------------------------+----------------------------------------------+-----------------------------+---------------------------+-------------------------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Clock Setup: 'CLOCK_50' ; +-----------------------------------------+-----------------------------------------------------+-----------------------------+-----------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ ; Slack ; Actual fmax (period) ; From ; To ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ; +-----------------------------------------+-----------------------------------------------------+-----------------------------+-----------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ ; 15.201 ns ; 208.38 MHz ( period = 4.799 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.560 ns ; ; 15.201 ns ; 208.38 MHz ( period = 4.799 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.560 ns ; ; 15.201 ns ; 208.38 MHz ( period = 4.799 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.560 ns ; ; 15.201 ns ; 208.38 MHz ( period = 4.799 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.560 ns ; ; 15.201 ns ; 208.38 MHz ( period = 4.799 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.560 ns ; ; 15.201 ns ; 208.38 MHz ( period = 4.799 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.560 ns ; ; 15.201 ns ; 208.38 MHz ( period = 4.799 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.560 ns ; ; 15.201 ns ; 208.38 MHz ( period = 4.799 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.560 ns ; ; 15.201 ns ; 208.38 MHz ( period = 4.799 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.560 ns ; ; 15.201 ns ; 208.38 MHz ( period = 4.799 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.560 ns ; ; 15.201 ns ; 208.38 MHz ( period = 4.799 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.560 ns ; ; 15.201 ns ; 208.38 MHz ( period = 4.799 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.560 ns ; ; 15.238 ns ; 210.00 MHz ( period = 4.762 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.523 ns ; ; 15.238 ns ; 210.00 MHz ( period = 4.762 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.523 ns ; ; 15.238 ns ; 210.00 MHz ( period = 4.762 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.523 ns ; ; 15.238 ns ; 210.00 MHz ( period = 4.762 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.523 ns ; ; 15.238 ns ; 210.00 MHz ( period = 4.762 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.523 ns ; ; 15.238 ns ; 210.00 MHz ( period = 4.762 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.523 ns ; ; 15.238 ns ; 210.00 MHz ( period = 4.762 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.523 ns ; ; 15.238 ns ; 210.00 MHz ( period = 4.762 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.523 ns ; ; 15.238 ns ; 210.00 MHz ( period = 4.762 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.523 ns ; ; 15.238 ns ; 210.00 MHz ( period = 4.762 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.523 ns ; ; 15.238 ns ; 210.00 MHz ( period = 4.762 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.523 ns ; ; 15.238 ns ; 210.00 MHz ( period = 4.762 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.523 ns ; ; 15.329 ns ; 214.09 MHz ( period = 4.671 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.434 ns ; ; 15.329 ns ; 214.09 MHz ( period = 4.671 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.434 ns ; ; 15.329 ns ; 214.09 MHz ( period = 4.671 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.434 ns ; ; 15.329 ns ; 214.09 MHz ( period = 4.671 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.434 ns ; ; 15.329 ns ; 214.09 MHz ( period = 4.671 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.434 ns ; ; 15.329 ns ; 214.09 MHz ( period = 4.671 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.434 ns ; ; 15.329 ns ; 214.09 MHz ( period = 4.671 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.434 ns ; ; 15.329 ns ; 214.09 MHz ( period = 4.671 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.434 ns ; ; 15.329 ns ; 214.09 MHz ( period = 4.671 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.434 ns ; ; 15.329 ns ; 214.09 MHz ( period = 4.671 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.434 ns ; ; 15.329 ns ; 214.09 MHz ( period = 4.671 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.434 ns ; ; 15.329 ns ; 214.09 MHz ( period = 4.671 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.434 ns ; ; 15.406 ns ; 217.68 MHz ( period = 4.594 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.355 ns ; ; 15.406 ns ; 217.68 MHz ( period = 4.594 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.355 ns ; ; 15.406 ns ; 217.68 MHz ( period = 4.594 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.355 ns ; ; 15.406 ns ; 217.68 MHz ( period = 4.594 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.355 ns ; ; 15.406 ns ; 217.68 MHz ( period = 4.594 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.355 ns ; ; 15.406 ns ; 217.68 MHz ( period = 4.594 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.355 ns ; ; 15.406 ns ; 217.68 MHz ( period = 4.594 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.355 ns ; ; 15.406 ns ; 217.68 MHz ( period = 4.594 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.355 ns ; ; 15.406 ns ; 217.68 MHz ( period = 4.594 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.355 ns ; ; 15.406 ns ; 217.68 MHz ( period = 4.594 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.355 ns ; ; 15.406 ns ; 217.68 MHz ( period = 4.594 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.355 ns ; ; 15.406 ns ; 217.68 MHz ( period = 4.594 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.355 ns ; ; 15.422 ns ; 218.44 MHz ( period = 4.578 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.341 ns ; ; 15.422 ns ; 218.44 MHz ( period = 4.578 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.341 ns ; ; 15.422 ns ; 218.44 MHz ( period = 4.578 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.341 ns ; ; 15.422 ns ; 218.44 MHz ( period = 4.578 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.341 ns ; ; 15.422 ns ; 218.44 MHz ( period = 4.578 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.341 ns ; ; 15.422 ns ; 218.44 MHz ( period = 4.578 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.341 ns ; ; 15.422 ns ; 218.44 MHz ( period = 4.578 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.341 ns ; ; 15.422 ns ; 218.44 MHz ( period = 4.578 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.341 ns ; ; 15.422 ns ; 218.44 MHz ( period = 4.578 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.341 ns ; ; 15.422 ns ; 218.44 MHz ( period = 4.578 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.341 ns ; ; 15.422 ns ; 218.44 MHz ( period = 4.578 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.341 ns ; ; 15.422 ns ; 218.44 MHz ( period = 4.578 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.341 ns ; ; 15.574 ns ; 225.94 MHz ( period = 4.426 ns ) ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.187 ns ; ; 15.574 ns ; 225.94 MHz ( period = 4.426 ns ) ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.187 ns ; ; 15.574 ns ; 225.94 MHz ( period = 4.426 ns ) ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.187 ns ; ; 15.574 ns ; 225.94 MHz ( period = 4.426 ns ) ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.187 ns ; ; 15.574 ns ; 225.94 MHz ( period = 4.426 ns ) ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.187 ns ; ; 15.574 ns ; 225.94 MHz ( period = 4.426 ns ) ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.187 ns ; ; 15.574 ns ; 225.94 MHz ( period = 4.426 ns ) ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.187 ns ; ; 15.574 ns ; 225.94 MHz ( period = 4.426 ns ) ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.187 ns ; ; 15.574 ns ; 225.94 MHz ( period = 4.426 ns ) ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.187 ns ; ; 15.574 ns ; 225.94 MHz ( period = 4.426 ns ) ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.187 ns ; ; 15.574 ns ; 225.94 MHz ( period = 4.426 ns ) ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.187 ns ; ; 15.574 ns ; 225.94 MHz ( period = 4.426 ns ) ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.187 ns ; ; 15.582 ns ; 226.35 MHz ( period = 4.418 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[5] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.177 ns ; ; 15.582 ns ; 226.35 MHz ( period = 4.418 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[4] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.177 ns ; ; 15.582 ns ; 226.35 MHz ( period = 4.418 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[7] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.177 ns ; ; 15.582 ns ; 226.35 MHz ( period = 4.418 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[6] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.177 ns ; ; 15.582 ns ; 226.35 MHz ( period = 4.418 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[1] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.177 ns ; ; 15.582 ns ; 226.35 MHz ( period = 4.418 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[3] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.177 ns ; ; 15.582 ns ; 226.35 MHz ( period = 4.418 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[2] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.177 ns ; ; 15.582 ns ; 226.35 MHz ( period = 4.418 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.177 ns ; ; 15.582 ns ; 226.35 MHz ( period = 4.418 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.177 ns ; ; 15.582 ns ; 226.35 MHz ( period = 4.418 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.177 ns ; ; 15.582 ns ; 226.35 MHz ( period = 4.418 ns ) ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.177 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.603 ns ; 227.43 MHz ( period = 4.397 ns ) ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 4.160 ns ; ; 15.619 ns ; 228.26 MHz ( period = 4.381 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[5] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.140 ns ; ; 15.619 ns ; 228.26 MHz ( period = 4.381 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[4] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.140 ns ; ; 15.619 ns ; 228.26 MHz ( period = 4.381 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[7] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.140 ns ; ; 15.619 ns ; 228.26 MHz ( period = 4.381 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[6] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.140 ns ; ; 15.619 ns ; 228.26 MHz ( period = 4.381 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[1] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.140 ns ; ; 15.619 ns ; 228.26 MHz ( period = 4.381 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[3] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.140 ns ; ; 15.619 ns ; 228.26 MHz ( period = 4.381 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[2] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.140 ns ; ; 15.619 ns ; 228.26 MHz ( period = 4.381 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.140 ns ; ; 15.619 ns ; 228.26 MHz ( period = 4.381 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.140 ns ; ; 15.619 ns ; 228.26 MHz ( period = 4.381 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.140 ns ; ; 15.619 ns ; 228.26 MHz ( period = 4.381 ns ) ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 4.140 ns ; ; 15.710 ns ; 233.10 MHz ( period = 4.290 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[5] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.051 ns ; ; 15.710 ns ; 233.10 MHz ( period = 4.290 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[4] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.051 ns ; ; 15.710 ns ; 233.10 MHz ( period = 4.290 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[7] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.051 ns ; ; 15.710 ns ; 233.10 MHz ( period = 4.290 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[6] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.051 ns ; ; 15.710 ns ; 233.10 MHz ( period = 4.290 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[1] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.051 ns ; ; 15.710 ns ; 233.10 MHz ( period = 4.290 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[3] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.051 ns ; ; 15.710 ns ; 233.10 MHz ( period = 4.290 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[2] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.051 ns ; ; 15.710 ns ; 233.10 MHz ( period = 4.290 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.051 ns ; ; 15.710 ns ; 233.10 MHz ( period = 4.290 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.051 ns ; ; 15.710 ns ; 233.10 MHz ( period = 4.290 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.051 ns ; ; 15.710 ns ; 233.10 MHz ( period = 4.290 ns ) ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 4.051 ns ; ; 15.764 ns ; 236.07 MHz ( period = 4.236 ns ) ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.999 ns ; ; 15.764 ns ; 236.07 MHz ( period = 4.236 ns ) ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.999 ns ; ; 15.764 ns ; 236.07 MHz ( period = 4.236 ns ) ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.999 ns ; ; 15.764 ns ; 236.07 MHz ( period = 4.236 ns ) ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.999 ns ; ; 15.764 ns ; 236.07 MHz ( period = 4.236 ns ) ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.999 ns ; ; 15.764 ns ; 236.07 MHz ( period = 4.236 ns ) ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.999 ns ; ; 15.764 ns ; 236.07 MHz ( period = 4.236 ns ) ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.999 ns ; ; 15.764 ns ; 236.07 MHz ( period = 4.236 ns ) ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.999 ns ; ; 15.764 ns ; 236.07 MHz ( period = 4.236 ns ) ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.999 ns ; ; 15.764 ns ; 236.07 MHz ( period = 4.236 ns ) ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.999 ns ; ; 15.764 ns ; 236.07 MHz ( period = 4.236 ns ) ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.999 ns ; ; 15.764 ns ; 236.07 MHz ( period = 4.236 ns ) ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.999 ns ; ; 15.787 ns ; 237.36 MHz ( period = 4.213 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[5] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 3.972 ns ; ; 15.787 ns ; 237.36 MHz ( period = 4.213 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[4] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 3.972 ns ; ; 15.787 ns ; 237.36 MHz ( period = 4.213 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[7] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 3.972 ns ; ; 15.787 ns ; 237.36 MHz ( period = 4.213 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[6] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 3.972 ns ; ; 15.787 ns ; 237.36 MHz ( period = 4.213 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[1] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 3.972 ns ; ; 15.787 ns ; 237.36 MHz ( period = 4.213 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[3] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 3.972 ns ; ; 15.787 ns ; 237.36 MHz ( period = 4.213 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[2] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 3.972 ns ; ; 15.787 ns ; 237.36 MHz ( period = 4.213 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 3.972 ns ; ; 15.787 ns ; 237.36 MHz ( period = 4.213 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 3.972 ns ; ; 15.787 ns ; 237.36 MHz ( period = 4.213 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 3.972 ns ; ; 15.787 ns ; 237.36 MHz ( period = 4.213 ns ) ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.759 ns ; 3.972 ns ; ; 15.803 ns ; 238.27 MHz ( period = 4.197 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[5] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.958 ns ; ; 15.803 ns ; 238.27 MHz ( period = 4.197 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[4] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.958 ns ; ; 15.803 ns ; 238.27 MHz ( period = 4.197 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[7] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.958 ns ; ; 15.803 ns ; 238.27 MHz ( period = 4.197 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[6] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.958 ns ; ; 15.803 ns ; 238.27 MHz ( period = 4.197 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[1] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.958 ns ; ; 15.803 ns ; 238.27 MHz ( period = 4.197 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[3] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.958 ns ; ; 15.803 ns ; 238.27 MHz ( period = 4.197 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[2] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.958 ns ; ; 15.803 ns ; 238.27 MHz ( period = 4.197 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.958 ns ; ; 15.803 ns ; 238.27 MHz ( period = 4.197 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.958 ns ; ; 15.803 ns ; 238.27 MHz ( period = 4.197 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.958 ns ; ; 15.803 ns ; 238.27 MHz ( period = 4.197 ns ) ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.958 ns ; ; 15.821 ns ; 239.29 MHz ( period = 4.179 ns ) ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.942 ns ; ; 15.821 ns ; 239.29 MHz ( period = 4.179 ns ) ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.942 ns ; ; 15.821 ns ; 239.29 MHz ( period = 4.179 ns ) ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.942 ns ; ; 15.821 ns ; 239.29 MHz ( period = 4.179 ns ) ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.942 ns ; ; 15.821 ns ; 239.29 MHz ( period = 4.179 ns ) ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.942 ns ; ; 15.821 ns ; 239.29 MHz ( period = 4.179 ns ) ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.942 ns ; ; 15.821 ns ; 239.29 MHz ( period = 4.179 ns ) ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.942 ns ; ; 15.821 ns ; 239.29 MHz ( period = 4.179 ns ) ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.942 ns ; ; 15.821 ns ; 239.29 MHz ( period = 4.179 ns ) ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.942 ns ; ; 15.821 ns ; 239.29 MHz ( period = 4.179 ns ) ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.942 ns ; ; 15.821 ns ; 239.29 MHz ( period = 4.179 ns ) ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.942 ns ; ; 15.821 ns ; 239.29 MHz ( period = 4.179 ns ) ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.942 ns ; ; 15.867 ns ; 241.95 MHz ( period = 4.133 ns ) ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.894 ns ; ; 15.867 ns ; 241.95 MHz ( period = 4.133 ns ) ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.894 ns ; ; 15.867 ns ; 241.95 MHz ( period = 4.133 ns ) ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.894 ns ; ; 15.867 ns ; 241.95 MHz ( period = 4.133 ns ) ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.894 ns ; ; 15.867 ns ; 241.95 MHz ( period = 4.133 ns ) ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.894 ns ; ; 15.867 ns ; 241.95 MHz ( period = 4.133 ns ) ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.894 ns ; ; 15.867 ns ; 241.95 MHz ( period = 4.133 ns ) ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.894 ns ; ; 15.867 ns ; 241.95 MHz ( period = 4.133 ns ) ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.894 ns ; ; 15.867 ns ; 241.95 MHz ( period = 4.133 ns ) ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.894 ns ; ; 15.867 ns ; 241.95 MHz ( period = 4.133 ns ) ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.894 ns ; ; 15.867 ns ; 241.95 MHz ( period = 4.133 ns ) ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.894 ns ; ; 15.867 ns ; 241.95 MHz ( period = 4.133 ns ) ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.894 ns ; ; 15.898 ns ; 243.78 MHz ( period = 4.102 ns ) ; Reset_Delay:delay1|Cont[23] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.863 ns ; ; 15.898 ns ; 243.78 MHz ( period = 4.102 ns ) ; Reset_Delay:delay1|Cont[23] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.863 ns ; ; 15.898 ns ; 243.78 MHz ( period = 4.102 ns ) ; Reset_Delay:delay1|Cont[23] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.863 ns ; ; 15.898 ns ; 243.78 MHz ( period = 4.102 ns ) ; Reset_Delay:delay1|Cont[23] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.863 ns ; ; 15.898 ns ; 243.78 MHz ( period = 4.102 ns ) ; Reset_Delay:delay1|Cont[23] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.863 ns ; ; 15.898 ns ; 243.78 MHz ( period = 4.102 ns ) ; Reset_Delay:delay1|Cont[23] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.863 ns ; ; 15.898 ns ; 243.78 MHz ( period = 4.102 ns ) ; Reset_Delay:delay1|Cont[23] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.863 ns ; ; 15.898 ns ; 243.78 MHz ( period = 4.102 ns ) ; Reset_Delay:delay1|Cont[23] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.863 ns ; ; 15.898 ns ; 243.78 MHz ( period = 4.102 ns ) ; Reset_Delay:delay1|Cont[23] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.863 ns ; ; 15.898 ns ; 243.78 MHz ( period = 4.102 ns ) ; Reset_Delay:delay1|Cont[23] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.863 ns ; ; 15.898 ns ; 243.78 MHz ( period = 4.102 ns ) ; Reset_Delay:delay1|Cont[23] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.863 ns ; ; 15.898 ns ; 243.78 MHz ( period = 4.102 ns ) ; Reset_Delay:delay1|Cont[23] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.761 ns ; 3.863 ns ; ; 15.920 ns ; 245.10 MHz ( period = 4.080 ns ) ; Reset_Delay:delay1|Cont[9] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 20.000 ns ; 19.763 ns ; 3.843 ns ; ; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; ; +-----------------------------------------+-----------------------------------------------------+-----------------------------+-----------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Clock Setup: 'altera_internal_jtag~TCKUTAP' ; +-----------------------------------------+-----------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------+------------------------------+-----------------------------+---------------------------+-------------------------+ ; Slack ; Actual fmax (period) ; From ; To ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ; +-----------------------------------------+-----------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------+------------------------------+-----------------------------+---------------------------+-------------------------+ ; N/A ; 107.87 MHz ( period = 9.270 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 9.028 ns ; ; N/A ; 108.21 MHz ( period = 9.241 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[12] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.998 ns ; ; N/A ; 108.96 MHz ( period = 9.178 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.936 ns ; ; N/A ; 109.30 MHz ( period = 9.149 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[12] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.906 ns ; ; N/A ; 110.30 MHz ( period = 9.066 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[13] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.823 ns ; ; N/A ; 111.41 MHz ( period = 8.976 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.730 ns ; ; N/A ; 111.43 MHz ( period = 8.974 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[13] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.731 ns ; ; N/A ; 111.77 MHz ( period = 8.947 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[12] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.700 ns ; ; N/A ; 114.00 MHz ( period = 8.772 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[13] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.525 ns ; ; N/A ; 116.90 MHz ( period = 8.554 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.309 ns ; ; N/A ; 117.30 MHz ( period = 8.525 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[12] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.279 ns ; ; N/A ; 118.44 MHz ( period = 8.443 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[36] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.208 ns ; ; N/A ; 118.44 MHz ( period = 8.443 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[37] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.208 ns ; ; N/A ; 119.67 MHz ( period = 8.356 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[36] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.121 ns ; ; N/A ; 119.67 MHz ( period = 8.356 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[37] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.121 ns ; ; N/A ; 119.76 MHz ( period = 8.350 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[13] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.104 ns ; ; N/A ; 120.79 MHz ( period = 8.279 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[24] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.043 ns ; ; N/A ; 121.32 MHz ( period = 8.243 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[29] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 8.007 ns ; ; N/A ; 122.14 MHz ( period = 8.187 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[24] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.951 ns ; ; N/A ; 122.62 MHz ( period = 8.155 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[36] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.916 ns ; ; N/A ; 122.62 MHz ( period = 8.155 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[37] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.916 ns ; ; N/A ; 122.68 MHz ( period = 8.151 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[29] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.915 ns ; ; N/A ; 123.29 MHz ( period = 8.111 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[25] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.875 ns ; ; N/A ; 123.55 MHz ( period = 8.094 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[23] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.858 ns ; ; N/A ; 123.84 MHz ( period = 8.075 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[22] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.839 ns ; ; N/A ; 124.27 MHz ( period = 8.047 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[30] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.811 ns ; ; N/A ; 124.32 MHz ( period = 8.044 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[26] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.808 ns ; ; N/A ; 124.70 MHz ( period = 8.019 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[25] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.783 ns ; ; N/A ; 124.97 MHz ( period = 8.002 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[23] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.766 ns ; ; N/A ; 125.06 MHz ( period = 7.996 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[32] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.760 ns ; ; N/A ; 125.23 MHz ( period = 7.985 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[24] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.745 ns ; ; N/A ; 125.27 MHz ( period = 7.983 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[22] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.747 ns ; ; N/A ; 125.71 MHz ( period = 7.955 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[30] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.719 ns ; ; N/A ; 125.75 MHz ( period = 7.952 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[26] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.716 ns ; ; N/A ; 125.80 MHz ( period = 7.949 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[29] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.709 ns ; ; N/A ; 125.87 MHz ( period = 7.945 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[18] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.709 ns ; ; N/A ; 125.87 MHz ( period = 7.945 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[17] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.709 ns ; ; N/A ; 125.90 MHz ( period = 7.943 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[19] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.707 ns ; ; N/A ; 126.52 MHz ( period = 7.904 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[32] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.668 ns ; ; N/A ; 126.65 MHz ( period = 7.896 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[16] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.660 ns ; ; N/A ; 127.34 MHz ( period = 7.853 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[18] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.617 ns ; ; N/A ; 127.34 MHz ( period = 7.853 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[17] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.617 ns ; ; N/A ; 127.37 MHz ( period = 7.851 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[19] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.615 ns ; ; N/A ; 127.65 MHz ( period = 7.834 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[11] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.597 ns ; ; N/A ; 127.93 MHz ( period = 7.817 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[25] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.577 ns ; ; N/A ; 128.14 MHz ( period = 7.804 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[16] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.568 ns ; ; N/A ; 128.21 MHz ( period = 7.800 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[23] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.560 ns ; ; N/A ; 128.21 MHz ( period = 7.800 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[27] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.564 ns ; ; N/A ; 128.42 MHz ( period = 7.787 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[28] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.551 ns ; ; N/A ; 128.52 MHz ( period = 7.781 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[22] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.541 ns ; ; N/A ; 128.98 MHz ( period = 7.753 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[30] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.513 ns ; ; N/A ; 129.03 MHz ( period = 7.750 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[26] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.510 ns ; ; N/A ; 129.17 MHz ( period = 7.742 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[11] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.505 ns ; ; N/A ; 129.30 MHz ( period = 7.734 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[0] ; sld_hub:sld_hub_inst|hub_tdo ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 3.635 ns ; ; N/A ; 129.33 MHz ( period = 7.732 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.494 ns ; ; N/A ; 129.37 MHz ( period = 7.730 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[36] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.492 ns ; ; N/A ; 129.37 MHz ( period = 7.730 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[37] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.492 ns ; ; N/A ; 129.74 MHz ( period = 7.708 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[27] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.472 ns ; ; N/A ; 129.79 MHz ( period = 7.705 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[20] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.469 ns ; ; N/A ; 129.82 MHz ( period = 7.703 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[21] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.467 ns ; ; N/A ; 129.84 MHz ( period = 7.702 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[32] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.462 ns ; ; N/A ; 129.95 MHz ( period = 7.695 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[28] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.459 ns ; ; N/A ; 130.70 MHz ( period = 7.651 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[18] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.411 ns ; ; N/A ; 130.70 MHz ( period = 7.651 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[17] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.411 ns ; ; N/A ; 130.74 MHz ( period = 7.649 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[19] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.409 ns ; ; N/A ; 130.91 MHz ( period = 7.639 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[9] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.402 ns ; ; N/A ; 131.35 MHz ( period = 7.613 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[20] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.377 ns ; ; N/A ; 131.39 MHz ( period = 7.611 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[21] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.375 ns ; ; N/A ; 131.54 MHz ( period = 7.602 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[16] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.362 ns ; ; N/A ; 132.22 MHz ( period = 7.563 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[24] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.324 ns ; ; N/A ; 132.50 MHz ( period = 7.547 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[9] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.310 ns ; ; N/A ; 132.63 MHz ( period = 7.540 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[11] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.299 ns ; ; N/A ; 132.86 MHz ( period = 7.527 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[29] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.288 ns ; ; N/A ; 133.23 MHz ( period = 7.506 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[27] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.266 ns ; ; N/A ; 133.46 MHz ( period = 7.493 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[28] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.253 ns ; ; N/A ; 134.19 MHz ( period = 7.452 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[10] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.215 ns ; ; N/A ; 134.93 MHz ( period = 7.411 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[20] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.171 ns ; ; N/A ; 134.97 MHz ( period = 7.409 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[21] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.169 ns ; ; N/A ; 135.23 MHz ( period = 7.395 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[25] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.156 ns ; ; N/A ; 135.54 MHz ( period = 7.378 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[23] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.139 ns ; ; N/A ; 135.87 MHz ( period = 7.360 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[10] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.123 ns ; ; N/A ; 135.89 MHz ( period = 7.359 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[22] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.120 ns ; ; N/A ; 136.15 MHz ( period = 7.345 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[9] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.104 ns ; ; N/A ; 136.41 MHz ( period = 7.331 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[30] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.092 ns ; ; N/A ; 136.43 MHz ( period = 7.330 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA_0|Q[0] ; sld_hub:sld_hub_inst|hub_tdo ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 3.425 ns ; ; N/A ; 136.46 MHz ( period = 7.328 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[26] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.089 ns ; ; N/A ; 137.36 MHz ( period = 7.280 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[32] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 7.041 ns ; ; N/A ; 138.33 MHz ( period = 7.229 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[33] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.993 ns ; ; N/A ; 138.33 MHz ( period = 7.229 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[18] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.990 ns ; ; N/A ; 138.33 MHz ( period = 7.229 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[17] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.990 ns ; ; N/A ; 138.37 MHz ( period = 7.227 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[19] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.988 ns ; ; N/A ; 138.93 MHz ( period = 7.198 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[13] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.959 ns ; ; N/A ; 139.28 MHz ( period = 7.180 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[16] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.941 ns ; ; N/A ; 139.43 MHz ( period = 7.172 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; sld_hub:sld_hub_inst|hub_tdo ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 3.346 ns ; ; N/A ; 139.70 MHz ( period = 7.158 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[10] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.917 ns ; ; N/A ; 140.11 MHz ( period = 7.137 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[33] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.901 ns ; ; N/A ; 140.29 MHz ( period = 7.128 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[7] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.885 ns ; ; N/A ; 140.29 MHz ( period = 7.128 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[8] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.885 ns ; ; N/A ; 140.29 MHz ( period = 7.128 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[1] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.885 ns ; ; N/A ; 140.29 MHz ( period = 7.128 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[0] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.885 ns ; ; N/A ; 140.49 MHz ( period = 7.118 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[11] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.878 ns ; ; N/A ; 141.16 MHz ( period = 7.084 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[27] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.845 ns ; ; N/A ; 141.42 MHz ( period = 7.071 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[28] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.832 ns ; ; N/A ; 142.03 MHz ( period = 7.041 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[7] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.798 ns ; ; N/A ; 142.03 MHz ( period = 7.041 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[8] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.798 ns ; ; N/A ; 142.03 MHz ( period = 7.041 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[1] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.798 ns ; ; N/A ; 142.03 MHz ( period = 7.041 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[0] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.798 ns ; ; N/A ; 142.65 MHz ( period = 7.010 ns ) ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|td_shift[0] ; sld_hub:sld_hub_inst|hub_tdo ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 3.268 ns ; ; N/A ; 143.08 MHz ( period = 6.989 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[20] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.750 ns ; ; N/A ; 143.12 MHz ( period = 6.987 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[21] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.748 ns ; ; N/A ; 144.20 MHz ( period = 6.935 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[33] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.695 ns ; ; N/A ; 144.40 MHz ( period = 6.925 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[25] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.693 ns ; ; N/A ; 144.45 MHz ( period = 6.923 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[9] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.683 ns ; ; N/A ; 144.61 MHz ( period = 6.915 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[16] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.683 ns ; ; N/A ; 146.16 MHz ( period = 6.842 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|st_updateir~$emulated ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[36] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.593 ns ; ; N/A ; 146.16 MHz ( period = 6.842 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|st_updateir~$emulated ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[37] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.593 ns ; ; N/A ; 146.20 MHz ( period = 6.840 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[7] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.593 ns ; ; N/A ; 146.20 MHz ( period = 6.840 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[8] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.593 ns ; ; N/A ; 146.20 MHz ( period = 6.840 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[1] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.593 ns ; ; N/A ; 146.20 MHz ( period = 6.840 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[0] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.593 ns ; ; N/A ; 146.24 MHz ( period = 6.838 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[6] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.596 ns ; ; N/A ; 146.24 MHz ( period = 6.838 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[5] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.596 ns ; ; N/A ; 146.24 MHz ( period = 6.838 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[15] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.596 ns ; ; N/A ; 146.24 MHz ( period = 6.838 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[3] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.596 ns ; ; N/A ; 146.24 MHz ( period = 6.838 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[4] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.596 ns ; ; N/A ; 146.24 MHz ( period = 6.838 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[2] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.596 ns ; ; N/A ; 146.63 MHz ( period = 6.820 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|jupdate ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 3.172 ns ; ; N/A ; 146.84 MHz ( period = 6.810 ns ) ; sld_hub:sld_hub_inst|HUB_BYPASS_REG ; sld_hub:sld_hub_inst|hub_tdo ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 3.168 ns ; ; N/A ; 147.06 MHz ( period = 6.800 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|jupdate ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 3.162 ns ; ; N/A ; 148.13 MHz ( period = 6.751 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[6] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.509 ns ; ; N/A ; 148.13 MHz ( period = 6.751 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[5] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.509 ns ; ; N/A ; 148.13 MHz ( period = 6.751 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[15] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.509 ns ; ; N/A ; 148.13 MHz ( period = 6.751 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[3] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.509 ns ; ; N/A ; 148.13 MHz ( period = 6.751 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[4] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.509 ns ; ; N/A ; 148.13 MHz ( period = 6.751 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[2] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.509 ns ; ; N/A ; 148.21 MHz ( period = 6.747 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[34] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.511 ns ; ; N/A ; 148.46 MHz ( period = 6.736 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[10] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.496 ns ; ; N/A ; 148.96 MHz ( period = 6.713 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[35] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.478 ns ; ; N/A ; 148.96 MHz ( period = 6.713 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[31] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.478 ns ; ; N/A ; 150.15 MHz ( period = 6.660 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[34] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.424 ns ; ; N/A ; 150.92 MHz ( period = 6.626 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[35] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.391 ns ; ; N/A ; 150.92 MHz ( period = 6.626 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[31] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.391 ns ; ; N/A ; 150.99 MHz ( period = 6.623 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[27] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.391 ns ; ; N/A ; 151.33 MHz ( period = 6.608 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[28] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.376 ns ; ; N/A ; 151.54 MHz ( period = 6.599 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[23] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.367 ns ; ; N/A ; 152.30 MHz ( period = 6.566 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[12] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.327 ns ; ; N/A ; 152.67 MHz ( period = 6.550 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[6] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.304 ns ; ; N/A ; 152.67 MHz ( period = 6.550 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[5] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.304 ns ; ; N/A ; 152.67 MHz ( period = 6.550 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[15] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.304 ns ; ; N/A ; 152.67 MHz ( period = 6.550 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[3] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.304 ns ; ; N/A ; 152.67 MHz ( period = 6.550 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[4] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.304 ns ; ; N/A ; 152.67 MHz ( period = 6.550 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[2] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.304 ns ; ; N/A ; 153.14 MHz ( period = 6.530 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|in_between_shiftdr_and_updatedr ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.284 ns ; ; N/A ; 153.26 MHz ( period = 6.525 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[29] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.293 ns ; ; N/A ; 153.52 MHz ( period = 6.514 ns ) ; sld_hub:sld_hub_inst|sld_rom_sr:HUB_INFO_REG|WORD_SR[0] ; sld_hub:sld_hub_inst|hub_tdo ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 3.019 ns ; ; N/A ; 153.54 MHz ( period = 6.513 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[33] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.274 ns ; ; N/A ; 153.82 MHz ( period = 6.501 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|in_between_shiftdr_and_updatedr ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[12] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.254 ns ; ; N/A ; 154.82 MHz ( period = 6.459 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[34] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.219 ns ; ; N/A ; 155.47 MHz ( period = 6.432 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[32] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.200 ns ; ; N/A ; 155.64 MHz ( period = 6.425 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[35] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.186 ns ; ; N/A ; 155.64 MHz ( period = 6.425 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[31] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.186 ns ; ; N/A ; 155.88 MHz ( period = 6.415 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[7] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.169 ns ; ; N/A ; 155.88 MHz ( period = 6.415 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[8] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.169 ns ; ; N/A ; 155.88 MHz ( period = 6.415 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[1] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.169 ns ; ; N/A ; 155.88 MHz ( period = 6.415 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[0] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.169 ns ; ; N/A ; 158.08 MHz ( period = 6.326 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|in_between_shiftdr_and_updatedr ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[13] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 6.079 ns ; ; N/A ; 159.24 MHz ( period = 6.280 ns ) ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[0] ; sld_hub:sld_hub_inst|hub_tdo ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 2.901 ns ; ; N/A ; 163.27 MHz ( period = 6.125 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[6] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.880 ns ; ; N/A ; 163.27 MHz ( period = 6.125 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[5] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.880 ns ; ; N/A ; 163.27 MHz ( period = 6.125 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[15] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.880 ns ; ; N/A ; 163.27 MHz ( period = 6.125 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[3] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.880 ns ; ; N/A ; 163.27 MHz ( period = 6.125 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[4] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.880 ns ; ; N/A ; 163.27 MHz ( period = 6.125 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[2] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.880 ns ; ; N/A ; 165.73 MHz ( period = 6.034 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[34] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.795 ns ; ; N/A ; 165.78 MHz ( period = 6.032 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; sld_hub:sld_hub_inst|hub_tdo ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 2.780 ns ; ; N/A ; 166.67 MHz ( period = 6.000 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[35] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.762 ns ; ; N/A ; 166.67 MHz ( period = 6.000 ns ) ; sld_hub:sld_hub_inst|sld_dffex:BROADCAST|Q[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[31] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.762 ns ; ; N/A ; 167.45 MHz ( period = 5.972 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[24] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.740 ns ; ; N/A ; 168.52 MHz ( period = 5.934 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[26] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.702 ns ; ; N/A ; 174.16 MHz ( period = 5.742 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[30] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.510 ns ; ; N/A ; 174.58 MHz ( period = 5.728 ns ) ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[1] ; sld_hub:sld_hub_inst|hub_tdo ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 2.624 ns ; ; N/A ; 175.96 MHz ( period = 5.683 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[11] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.450 ns ; ; N/A ; 179.21 MHz ( period = 5.580 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[22] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.348 ns ; ; N/A ; 179.63 MHz ( period = 5.567 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.324 ns ; ; N/A ; 179.63 MHz ( period = 5.567 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[1] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.324 ns ; ; N/A ; 179.79 MHz ( period = 5.562 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[19] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.330 ns ; ; N/A ; 180.54 MHz ( period = 5.539 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|in_between_shiftdr_and_updatedr ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[24] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.299 ns ; ; N/A ; 180.93 MHz ( period = 5.527 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|st_updateir~$emulated ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[7] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.270 ns ; ; N/A ; 180.93 MHz ( period = 5.527 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|st_updateir~$emulated ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[8] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.270 ns ; ; N/A ; 180.93 MHz ( period = 5.527 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|st_updateir~$emulated ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[12] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.270 ns ; ; N/A ; 180.93 MHz ( period = 5.527 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|st_updateir~$emulated ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[13] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.270 ns ; ; N/A ; 180.93 MHz ( period = 5.527 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|st_updateir~$emulated ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[1] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.270 ns ; ; N/A ; 180.93 MHz ( period = 5.527 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|st_updateir~$emulated ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[0] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.270 ns ; ; N/A ; 181.72 MHz ( period = 5.503 ns ) ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|in_between_shiftdr_and_updatedr ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[29] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.263 ns ; ; N/A ; 182.48 MHz ( period = 5.480 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.237 ns ; ; N/A ; 182.48 MHz ( period = 5.480 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[1] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.237 ns ; ; N/A ; 184.91 MHz ( period = 5.408 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|wdata[1] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.167 ns ; ; N/A ; 184.91 MHz ( period = 5.408 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|wdata[2] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.167 ns ; ; N/A ; 184.91 MHz ( period = 5.408 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|wdata[3] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.167 ns ; ; N/A ; 185.25 MHz ( period = 5.398 ns ) ; sld_hub:sld_hub_inst|jtag_debug_mode_usr1 ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|wdata[2] ; altera_internal_jtag~TCKUTAP ; altera_internal_jtag~TCKUTAP ; None ; None ; 5.157 ns ; ; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; ; +-----------------------------------------+-----------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------+------------------------------+-----------------------------+---------------------------+-------------------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Clock Hold: 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1' ; +-----------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------+----------------------------------------------+----------------------------+----------------------------+--------------------------+ ; Minimum Slack ; From ; To ; From Clock ; To Clock ; Required Hold Relationship ; Required Shortest P2P Time ; Actual Shortest P2P Time ; +-----------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------+----------------------------------------------+----------------------------+----------------------------+--------------------------+ ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_cmd[3] ; system_0:u0|sdram_0:the_sdram_0|i_cmd[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|uart_0:the_uart_0|uart_0_tx:the_uart_0_tx|pre_txd ; system_0:u0|uart_0:the_uart_0|uart_0_tx:the_uart_0_tx|pre_txd ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_refs[0] ; system_0:u0|sdram_0:the_sdram_0|i_refs[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_refs[1] ; system_0:u0|sdram_0:the_sdram_0|i_refs[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_refs[2] ; system_0:u0|sdram_0:the_sdram_0|i_refs[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_next.010 ; system_0:u0|sdram_0:the_sdram_0|i_next.010 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|jtag_uart_0:the_jtag_uart_0|rvalid ; system_0:u0|jtag_uart_0:the_jtag_uart_0|rvalid ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|jtag_uart_0:the_jtag_uart_0|ac ; system_0:u0|jtag_uart_0:the_jtag_uart_0|ac ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_next.000 ; system_0:u0|sdram_0:the_sdram_0|i_next.000 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_next.111 ; system_0:u0|sdram_0:the_sdram_0|i_next.111 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_count[0] ; system_0:u0|sdram_0:the_sdram_0|i_count[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|jtag_uart_0:the_jtag_uart_0|woverflow ; system_0:u0|jtag_uart_0:the_jtag_uart_0|woverflow ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_state.000 ; system_0:u0|sdram_0:the_sdram_0|i_state.000 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|r_ena1 ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|r_ena1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|jtag_uart_0:the_jtag_uart_0|r_val ; system_0:u0|jtag_uart_0:the_jtag_uart_0|r_val ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|jtag_uart_0:the_jtag_uart_0|jtag_uart_0_scfifo_w:the_jtag_uart_0_scfifo_w|scfifo:wfifo|scfifo_1n21:auto_generated|a_dpfifo_8t21:dpfifo|a_fefifo_7cf:fifo_state|b_non_empty ; system_0:u0|jtag_uart_0:the_jtag_uart_0|jtag_uart_0_scfifo_w:the_jtag_uart_0_scfifo_w|scfifo:wfifo|scfifo_1n21:auto_generated|a_dpfifo_8t21:dpfifo|a_fefifo_7cf:fifo_state|b_non_empty ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_cmd[1] ; system_0:u0|sdram_0:the_sdram_0|i_cmd[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_cmd[0] ; system_0:u0|sdram_0:the_sdram_0|i_cmd[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|m_state.000100000 ; system_0:u0|sdram_0:the_sdram_0|m_state.000100000 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|m_count[0] ; system_0:u0|sdram_0:the_sdram_0|m_count[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_count[1] ; system_0:u0|sdram_0:the_sdram_0|i_count[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_state.011 ; system_0:u0|sdram_0:the_sdram_0|i_state.011 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_count[2] ; system_0:u0|sdram_0:the_sdram_0|i_count[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|m_next.010000000 ; system_0:u0|sdram_0:the_sdram_0|m_next.010000000 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_cmd[2] ; system_0:u0|sdram_0:the_sdram_0|i_cmd[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_next.101 ; system_0:u0|sdram_0:the_sdram_0|i_next.101 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|rd_strobe ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|rd_strobe ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|uart_0_s1_arbitrator:the_uart_0_s1|d1_reasons_to_wait ; system_0:u0|uart_0_s1_arbitrator:the_uart_0_s1|d1_reasons_to_wait ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|A_dc_latest_data_valid_byte_3 ; system_0:u0|cpu_0:the_cpu_0|A_dc_latest_data_valid_byte_3 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|A_dc_latest_data_valid_byte_2 ; system_0:u0|cpu_0:the_cpu_0|A_dc_latest_data_valid_byte_2 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|EOP ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|EOP ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|jtag_uart_0:the_jtag_uart_0|jtag_uart_0_scfifo_w:the_jtag_uart_0_scfifo_w|scfifo:wfifo|scfifo_1n21:auto_generated|a_dpfifo_8t21:dpfifo|a_fefifo_7cf:fifo_state|b_full ; system_0:u0|jtag_uart_0:the_jtag_uart_0|jtag_uart_0_scfifo_w:the_jtag_uart_0_scfifo_w|scfifo:wfifo|scfifo_1n21:auto_generated|a_dpfifo_8t21:dpfifo|a_fefifo_7cf:fifo_state|b_full ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|slowcount[1] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|slowcount[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|slowcount[0] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|slowcount[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|MISO_reg ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|MISO_reg ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|SCLK_reg ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|SCLK_reg ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|jtag_uart_0:the_jtag_uart_0|jtag_uart_0_scfifo_r:the_jtag_uart_0_scfifo_r|scfifo:rfifo|scfifo_1n21:auto_generated|a_dpfifo_8t21:dpfifo|a_fefifo_7cf:fifo_state|b_non_empty ; system_0:u0|jtag_uart_0:the_jtag_uart_0|jtag_uart_0_scfifo_r:the_jtag_uart_0_scfifo_r|scfifo:rfifo|scfifo_1n21:auto_generated|a_dpfifo_8t21:dpfifo|a_fefifo_7cf:fifo_state|b_non_empty ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|jtag_uart_0:the_jtag_uart_0|jtag_uart_0_scfifo_r:the_jtag_uart_0_scfifo_r|scfifo:rfifo|scfifo_1n21:auto_generated|a_dpfifo_8t21:dpfifo|a_fefifo_7cf:fifo_state|b_full ; system_0:u0|jtag_uart_0:the_jtag_uart_0|jtag_uart_0_scfifo_r:the_jtag_uart_0_scfifo_r|scfifo:rfifo|scfifo_1n21:auto_generated|a_dpfifo_8t21:dpfifo|a_fefifo_7cf:fifo_state|b_full ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|t_ena~reg0 ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|t_ena~reg0 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|m_count[2] ; system_0:u0|sdram_0:the_sdram_0|m_count[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|i_state.101 ; system_0:u0|sdram_0:the_sdram_0|i_state.101 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_dbs_rdv_counter[0] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_dbs_rdv_counter[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|state[4] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|state[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|sdram_0_input_efifo_module:the_sdram_0_input_efifo_module|wr_address ; system_0:u0|sdram_0:the_sdram_0|sdram_0_input_efifo_module:the_sdram_0_input_efifo_module|wr_address ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|ack_refresh_request ; system_0:u0|sdram_0:the_sdram_0|ack_refresh_request ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[7] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[6] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[5] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[4] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[3] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[2] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[1] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[0] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_valid_bits[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|jtag_uart_0:the_jtag_uart_0|pause_irq ; system_0:u0|jtag_uart_0:the_jtag_uart_0|pause_irq ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_dbs_rdv_counter[1] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_dbs_rdv_counter[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|tx_holding_primed ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|tx_holding_primed ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|transmitting ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|transmitting ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|A_dc_latest_data_valid_byte_1 ; system_0:u0|cpu_0:the_cpu_0|A_dc_latest_data_valid_byte_1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|wr_strobe ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|wr_strobe ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|m_state.000000001 ; system_0:u0|sdram_0:the_sdram_0|m_state.000000001 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|init_done ; system_0:u0|sdram_0:the_sdram_0|init_done ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_dbs_address[0] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_dbs_address[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|RRDY ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|RRDY ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|uart_0:the_uart_0|uart_0_rx:the_uart_0_rx|rx_char_ready ; system_0:u0|uart_0:the_uart_0|uart_0_rx:the_uart_0_rx|rx_char_ready ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|uart_0:the_uart_0|uart_0_tx:the_uart_0_tx|tx_ready ; system_0:u0|uart_0:the_uart_0|uart_0_tx:the_uart_0_tx|tx_ready ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|TOE ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|TOE ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|uart_0:the_uart_0|uart_0_tx:the_uart_0_tx|tx_overrun ; system_0:u0|uart_0:the_uart_0|uart_0_tx:the_uart_0_tx|tx_overrun ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|KEY:the_KEY|edge_capture[3] ; system_0:u0|KEY:the_KEY|edge_capture[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|uart_0:the_uart_0|uart_0_rx:the_uart_0_rx|rx_overrun ; system_0:u0|uart_0:the_uart_0|uart_0_rx:the_uart_0_rx|rx_overrun ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|KEY:the_KEY|edge_capture[2] ; system_0:u0|KEY:the_KEY|edge_capture[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|uart_0:the_uart_0|uart_0_rx:the_uart_0_rx|break_detect ; system_0:u0|uart_0:the_uart_0|uart_0_rx:the_uart_0_rx|break_detect ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|KEY:the_KEY|edge_capture[1] ; system_0:u0|KEY:the_KEY|edge_capture[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|uart_0:the_uart_0|uart_0_rx:the_uart_0_rx|framing_error ; system_0:u0|uart_0:the_uart_0|uart_0_rx:the_uart_0_rx|framing_error ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|KEY:the_KEY|edge_capture[0] ; system_0:u0|KEY:the_KEY|edge_capture[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_ocimem:the_cpu_0_nios2_ocimem|MonRd ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_ocimem:the_cpu_0_nios2_ocimem|MonRd ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_ocimem:the_cpu_0_nios2_ocimem|MonDReg[18] ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_ocimem:the_cpu_0_nios2_ocimem|MonDReg[18] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|tri_state_bridge_0_avalon_slave_arb_share_counter[2] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|tri_state_bridge_0_avalon_slave_arb_share_counter[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|tri_state_bridge_0_avalon_slave_arb_share_counter[1] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|tri_state_bridge_0_avalon_slave_arb_share_counter[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|tri_state_bridge_0_avalon_slave_arb_share_counter[0] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|tri_state_bridge_0_avalon_slave_arb_share_counter[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|sdram_0_input_efifo_module:the_sdram_0_input_efifo_module|rd_address ; system_0:u0|sdram_0:the_sdram_0|sdram_0_input_efifo_module:the_sdram_0_input_efifo_module|rd_address ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|refresh_request ; system_0:u0|sdram_0:the_sdram_0|refresh_request ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_dbs_address[1] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_dbs_address[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[0] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[1] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|ROE ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|ROE ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_oci_debug:the_cpu_0_nios2_oci_debug|probepresent ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_oci_debug:the_cpu_0_nios2_oci_debug|probepresent ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|how_many_ones[2] ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|how_many_ones[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|how_many_ones[3] ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|how_many_ones[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|how_many_ones[1] ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|how_many_ones[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|how_many_ones[0] ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|how_many_ones[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|active_cs_n ; system_0:u0|sdram_0:the_sdram_0|active_cs_n ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[2] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_offset[1] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_offset[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_offset[0] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_offset[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_avalon_reg:the_cpu_0_nios2_avalon_reg|oci_single_step_mode ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_avalon_reg:the_cpu_0_nios2_avalon_reg|oci_single_step_mode ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_oci_debug:the_cpu_0_nios2_oci_debug|monitor_go ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_oci_debug:the_cpu_0_nios2_oci_debug|monitor_go ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_oci_debug:the_cpu_0_nios2_oci_debug|monitor_ready ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_oci_debug:the_cpu_0_nios2_oci_debug|monitor_ready ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1|how_many_ones[3] ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1|how_many_ones[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1|how_many_ones[1] ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1|how_many_ones[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1|how_many_ones[0] ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1|how_many_ones[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1|how_many_ones[2] ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1|how_many_ones[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_arb_share_counter[0] ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_arb_share_counter[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_dp_offset[2] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_dp_offset[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_dp_offset[1] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_dp_offset[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_dp_offset[0] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_dp_offset[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_ocimem:the_cpu_0_nios2_ocimem|MonWr ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_ocimem:the_cpu_0_nios2_ocimem|MonWr ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_oci_debug:the_cpu_0_nios2_oci_debug|monitor_error ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_oci_debug:the_cpu_0_nios2_oci_debug|monitor_error ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|latched_oci_tb_hbreak_req ; system_0:u0|cpu_0:the_cpu_0|latched_oci_tb_hbreak_req ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|wait_for_one_post_bret_inst ; system_0:u0|cpu_0:the_cpu_0|wait_for_one_post_bret_inst ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_oci_debug:the_cpu_0_nios2_oci_debug|jtag_break ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_oci_debug:the_cpu_0_nios2_oci_debug|jtag_break ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller_epcs_control_port_arbitrator:the_epcs_controller_epcs_control_port|epcs_controller_epcs_control_port_saved_chosen_master_vector[0] ; system_0:u0|epcs_controller_epcs_control_port_arbitrator:the_epcs_controller_epcs_control_port|epcs_controller_epcs_control_port_saved_chosen_master_vector[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|last_cycle_cpu_0_data_master_granted_slave_cfi_flash_0_s1 ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|last_cycle_cpu_0_data_master_granted_slave_cfi_flash_0_s1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|last_cycle_cpu_0_instruction_master_granted_slave_cfi_flash_0_s1 ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|last_cycle_cpu_0_instruction_master_granted_slave_cfi_flash_0_s1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[3] ; system_0:u0|cpu_0:the_cpu_0|ic_fill_ap_cnt[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_active ; system_0:u0|cpu_0:the_cpu_0|ic_fill_active ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|hbreak_enabled ; system_0:u0|cpu_0:the_cpu_0|hbreak_enabled ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|tri_state_bridge_0_avalon_slave_slavearbiterlockenable ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|tri_state_bridge_0_avalon_slave_slavearbiterlockenable ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_dbs_address[0] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_dbs_address[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[1] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[0] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|last_cycle_cpu_0_data_master_granted_slave_sdram_0_s1 ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|last_cycle_cpu_0_data_master_granted_slave_sdram_0_s1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|last_cycle_cpu_0_data_master_granted_slave_sram_0_avalonS ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|last_cycle_cpu_0_data_master_granted_slave_sram_0_avalonS ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|tri_state_bridge_0_avalon_slave_arb_addend[0] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|tri_state_bridge_0_avalon_slave_arb_addend[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|tri_state_bridge_0_avalon_slave_arb_addend[1] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|tri_state_bridge_0_avalon_slave_arb_addend[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|sdram_0_input_efifo_module:the_sdram_0_input_efifo_module|entries[0] ; system_0:u0|sdram_0:the_sdram_0|sdram_0_input_efifo_module:the_sdram_0_input_efifo_module|entries[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0:the_sdram_0|sdram_0_input_efifo_module:the_sdram_0_input_efifo_module|entries[1] ; system_0:u0|sdram_0:the_sdram_0|sdram_0_input_efifo_module:the_sdram_0_input_efifo_module|entries[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|last_cycle_cpu_0_instruction_master_granted_slave_sdram_0_s1 ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|last_cycle_cpu_0_instruction_master_granted_slave_sdram_0_s1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; system_0:u0|cpu_0:the_cpu_0|i_read ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|last_cycle_cpu_0_instruction_master_granted_slave_sram_0_avalonS ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|last_cycle_cpu_0_instruction_master_granted_slave_sram_0_avalonS ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|A_mul_cnt[1] ; system_0:u0|cpu_0:the_cpu_0|A_mul_cnt[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|A_mul_cnt[0] ; system_0:u0|cpu_0:the_cpu_0|A_mul_cnt[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|A_mul_cnt[2] ; system_0:u0|cpu_0:the_cpu_0|A_mul_cnt[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller_epcs_control_port_arbitrator:the_epcs_controller_epcs_control_port|epcs_controller_epcs_control_port_arb_addend[0] ; system_0:u0|epcs_controller_epcs_control_port_arbitrator:the_epcs_controller_epcs_control_port|epcs_controller_epcs_control_port_arb_addend[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|epcs_controller_epcs_control_port_arbitrator:the_epcs_controller_epcs_control_port|epcs_controller_epcs_control_port_arb_addend[1] ; system_0:u0|epcs_controller_epcs_control_port_arbitrator:the_epcs_controller_epcs_control_port|epcs_controller_epcs_control_port_arb_addend[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|jtag_uart_0:the_jtag_uart_0|av_waitrequest ; system_0:u0|jtag_uart_0:the_jtag_uart_0|av_waitrequest ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0_jtag_debug_module_arbitrator:the_cpu_0_jtag_debug_module|cpu_0_jtag_debug_module_arb_addend[0] ; system_0:u0|cpu_0_jtag_debug_module_arbitrator:the_cpu_0_jtag_debug_module|cpu_0_jtag_debug_module_arb_addend[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0_jtag_debug_module_arbitrator:the_cpu_0_jtag_debug_module|cpu_0_jtag_debug_module_arb_addend[1] ; system_0:u0|cpu_0_jtag_debug_module_arbitrator:the_cpu_0_jtag_debug_module|cpu_0_jtag_debug_module_arb_addend[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|sdram_0_s1_arb_addend[1] ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|sdram_0_s1_arb_addend[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|sdram_0_s1_arb_addend[0] ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|sdram_0_s1_arb_addend[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|sdram_0_s1_slavearbiterlockenable ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|sdram_0_s1_slavearbiterlockenable ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_dbs_address[1] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_dbs_address[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_wait_counter[0] ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_wait_counter[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_slavearbiterlockenable ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_slavearbiterlockenable ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_arb_addend[0] ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_arb_addend[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_arb_addend[1] ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_arb_addend[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|A_shift_rot_cnt ; system_0:u0|cpu_0:the_cpu_0|A_shift_rot_cnt ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|A_dc_latest_data_valid ; system_0:u0|cpu_0:the_cpu_0|A_dc_latest_data_valid ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|A_dc_latest_data_valid_byte_0 ; system_0:u0|cpu_0:the_cpu_0|A_dc_latest_data_valid_byte_0 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|av_wr_done ; system_0:u0|cpu_0:the_cpu_0|av_wr_done ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|A_shift_rot_stall ; system_0:u0|cpu_0:the_cpu_0|A_shift_rot_stall ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.445 ns ; system_0:u0|cpu_0:the_cpu_0|A_mul_stall ; system_0:u0|cpu_0:the_cpu_0|A_mul_stall ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.612 ns ; system_0:u0|cpu_0:the_cpu_0|M_rot_pass3 ; system_0:u0|cpu_0:the_cpu_0|A_rot_pass3 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.621 ns ; ; 0.612 ns ; system_0:u0|sdram_0:the_sdram_0|sdram_0_input_efifo_module:the_sdram_0_input_efifo_module|entry_1[28] ; system_0:u0|sdram_0:the_sdram_0|active_addr[10] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.621 ns ; ; 0.612 ns ; system_0:u0|cpu_0:the_cpu_0|E_bht_data[0] ; system_0:u0|cpu_0:the_cpu_0|M_bht_data[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.621 ns ; ; 0.612 ns ; system_0:u0|cpu_0:the_cpu_0|A_st_data[10] ; system_0:u0|cpu_0:the_cpu_0|d_writedata[10] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.621 ns ; ; 0.613 ns ; system_0:u0|cpu_0:the_cpu_0|M_src2[25] ; system_0:u0|cpu_0:the_cpu_0|A_mul_src2[25] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.622 ns ; ; 0.613 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_holding_reg[13] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[13] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.622 ns ; ; 0.614 ns ; system_0:u0|cpu_0:the_cpu_0|M_src1[11] ; system_0:u0|cpu_0:the_cpu_0|A_mul_src1[11]~_Duplicate_1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.623 ns ; ; 0.614 ns ; system_0:u0|cpu_0:the_cpu_0|E_control_rd_data_without_mmu_regs[1] ; system_0:u0|cpu_0:the_cpu_0|M_control_rd_data[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.623 ns ; ; 0.614 ns ; system_0:u0|cpu_0:the_cpu_0|E_control_rd_data_without_mmu_regs[2] ; system_0:u0|cpu_0:the_cpu_0|M_control_rd_data[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.623 ns ; ; 0.614 ns ; system_0:u0|cpu_0:the_cpu_0|F_bht_ptr[2] ; system_0:u0|cpu_0:the_cpu_0|D_bht_ptr[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.623 ns ; ; 0.614 ns ; system_0:u0|cpu_0:the_cpu_0|A_rot[29] ; system_0:u0|cpu_0:the_cpu_0|A_shift_rot_result[29] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.623 ns ; ; 0.614 ns ; system_0:u0|cpu_0:the_cpu_0|D_bht_ptr[5] ; system_0:u0|cpu_0:the_cpu_0|E_bht_ptr[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.623 ns ; ; 0.614 ns ; system_0:u0|cpu_0:the_cpu_0|E_bht_ptr[5] ; system_0:u0|cpu_0:the_cpu_0|M_bht_ptr[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.623 ns ; ; 0.615 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_holding_reg[12] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[12] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.624 ns ; ; 0.615 ns ; system_0:u0|cpu_0:the_cpu_0|A_dc_latest_data_byte_0[4] ; system_0:u0|cpu_0:the_cpu_0|A_dc_victim_rd_data[4] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.624 ns ; ; 0.615 ns ; system_0:u0|cpu_0:the_cpu_0|F_bht_ptr[7] ; system_0:u0|cpu_0:the_cpu_0|D_bht_ptr[7] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.624 ns ; ; 0.615 ns ; system_0:u0|cpu_0:the_cpu_0|A_dc_victim_tag[1] ; system_0:u0|cpu_0:the_cpu_0|d_address[12] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.624 ns ; ; 0.616 ns ; system_0:u0|sdram_0:the_sdram_0|i_next.111 ; system_0:u0|sdram_0:the_sdram_0|i_state.111 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.625 ns ; ; 0.616 ns ; system_0:u0|cpu_0:the_cpu_0|A_dc_victim_rd_data[31] ; system_0:u0|cpu_0:the_cpu_0|d_writedata[31] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.625 ns ; ; 0.616 ns ; system_0:u0|cpu_0:the_cpu_0|A_st_data[16] ; system_0:u0|cpu_0:the_cpu_0|d_writedata[16] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.625 ns ; ; 0.616 ns ; system_0:u0|cpu_0:the_cpu_0|E_bht_ptr[6] ; system_0:u0|cpu_0:the_cpu_0|M_bht_ptr[6] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.625 ns ; ; 0.616 ns ; system_0:u0|cpu_0:the_cpu_0|E_bht_ptr[2] ; system_0:u0|cpu_0:the_cpu_0|M_bht_ptr[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.625 ns ; ; 0.617 ns ; system_0:u0|uart_0:the_uart_0|uart_0_rx:the_uart_0_rx|d1_source_rxd ; system_0:u0|uart_0:the_uart_0|uart_0_rx:the_uart_0_rx|sync_rxd ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.626 ns ; ; 0.617 ns ; system_0:u0|cpu_0:the_cpu_0|A_mul_stall_d1 ; system_0:u0|cpu_0:the_cpu_0|A_mul_stall_d2 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.626 ns ; ; 0.617 ns ; system_0:u0|cpu_0:the_cpu_0|A_rot[27] ; system_0:u0|cpu_0:the_cpu_0|A_shift_rot_result[27] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.626 ns ; ; 0.617 ns ; system_0:u0|cpu_0:the_cpu_0|A_rot[11] ; system_0:u0|cpu_0:the_cpu_0|A_shift_rot_result[11] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.626 ns ; ; 0.617 ns ; system_0:u0|cpu_0:the_cpu_0|A_st_data[28] ; system_0:u0|cpu_0:the_cpu_0|d_writedata[28] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.626 ns ; ; 0.617 ns ; system_0:u0|cpu_0:the_cpu_0|A_st_data[26] ; system_0:u0|cpu_0:the_cpu_0|d_writedata[26] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.626 ns ; ; 0.617 ns ; system_0:u0|cpu_0:the_cpu_0|A_st_data[22] ; system_0:u0|cpu_0:the_cpu_0|d_writedata[22] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.626 ns ; ; 0.617 ns ; system_0:u0|cpu_0:the_cpu_0|A_mul_stall_d2 ; system_0:u0|cpu_0:the_cpu_0|A_mul_stall_d3 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.626 ns ; ; 0.617 ns ; system_0:u0|cpu_0:the_cpu_0|A_st_data[11] ; system_0:u0|cpu_0:the_cpu_0|d_writedata[11] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.626 ns ; ; 0.617 ns ; system_0:u0|cpu_0:the_cpu_0|E_bht_ptr[0] ; system_0:u0|cpu_0:the_cpu_0|M_bht_ptr[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.626 ns ; ; 0.617 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1|stage_1 ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_data_master_to_sdram_0_s1|stage_0 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.626 ns ; ; 0.618 ns ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_holding_reg[15] ; system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[15] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.627 ns ; ; 0.618 ns ; system_0:u0|cpu_0:the_cpu_0|A_dc_latest_data_byte_2[4] ; system_0:u0|cpu_0:the_cpu_0|A_dc_victim_rd_data[20] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.627 ns ; ; 0.618 ns ; system_0:u0|cpu_0:the_cpu_0|A_dc_victim_rd_data[29] ; system_0:u0|cpu_0:the_cpu_0|d_writedata[29] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.627 ns ; ; 0.618 ns ; system_0:u0|cpu_0:the_cpu_0|A_st_data[18] ; system_0:u0|cpu_0:the_cpu_0|d_writedata[18] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.627 ns ; ; 0.618 ns ; system_0:u0|cpu_0:the_cpu_0|D_bht_ptr[3] ; system_0:u0|cpu_0:the_cpu_0|E_bht_ptr[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.627 ns ; ; 0.618 ns ; system_0:u0|sdram_0:the_sdram_0|rd_valid[1] ; system_0:u0|sdram_0:the_sdram_0|rd_valid[2] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.627 ns ; ; 0.618 ns ; system_0:u0|cpu_0:the_cpu_0|E_bht_ptr[3] ; system_0:u0|cpu_0:the_cpu_0|M_bht_ptr[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.627 ns ; ; 0.619 ns ; system_0:u0|sdram_0:the_sdram_0|m_cmd[1]~_Duplicate_1 ; system_0:u0|sdram_0:the_sdram_0|rd_valid[0] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.628 ns ; ; 0.619 ns ; system_0:u0|cpu_0:the_cpu_0|A_rot[3] ; system_0:u0|cpu_0:the_cpu_0|A_shift_rot_result[3] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.628 ns ; ; 0.619 ns ; system_0:u0|cpu_0:the_cpu_0|A_st_data[23] ; system_0:u0|cpu_0:the_cpu_0|d_writedata[23] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.628 ns ; ; 0.619 ns ; system_0:u0|cpu_0:the_cpu_0|A_st_data[17] ; system_0:u0|cpu_0:the_cpu_0|d_writedata[17] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.628 ns ; ; 0.619 ns ; system_0:u0|cpu_0:the_cpu_0|A_st_data[5] ; system_0:u0|cpu_0:the_cpu_0|d_writedata[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.628 ns ; ; 0.619 ns ; system_0:u0|cpu_0:the_cpu_0|E_bht_ptr[1] ; system_0:u0|cpu_0:the_cpu_0|M_bht_ptr[1] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.628 ns ; ; 0.620 ns ; system_0:u0|jtag_uart_0:the_jtag_uart_0|jtag_uart_0_scfifo_w:the_jtag_uart_0_scfifo_w|scfifo:wfifo|scfifo_1n21:auto_generated|a_dpfifo_8t21:dpfifo|cntr_dl8:wr_ptr|safe_q[5] ; system_0:u0|jtag_uart_0:the_jtag_uart_0|jtag_uart_0_scfifo_w:the_jtag_uart_0_scfifo_w|scfifo:wfifo|scfifo_1n21:auto_generated|a_dpfifo_8t21:dpfifo|cntr_dl8:wr_ptr|safe_q[5] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.629 ns ; ; 0.620 ns ; system_0:u0|sdram_0:the_sdram_0|i_next.000 ; system_0:u0|sdram_0:the_sdram_0|i_state.000 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.629 ns ; ; 0.620 ns ; system_0:u0|uart_0:the_uart_0|uart_0_tx:the_uart_0_tx|baud_rate_counter[9] ; system_0:u0|uart_0:the_uart_0|uart_0_tx:the_uart_0_tx|baud_rate_counter[9] ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; SDRAM_PLL:PLL1|altpll:altpll_component|_clk1 ; 0.000 ns ; 0.009 ns ; 0.629 ns ; ; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; +-----------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------+----------------------------------------------+----------------------------+----------------------------+--------------------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Clock Hold: 'CLOCK_50' ; +-----------------------------------------+-----------------------------------------------------+-----------------------------+------------+----------+----------------------------+----------------------------+--------------------------+ ; Minimum Slack ; From ; To ; From Clock ; To Clock ; Required Hold Relationship ; Required Shortest P2P Time ; Actual Shortest P2P Time ; +-----------------------------------------+-----------------------------------------------------+-----------------------------+------------+----------+----------------------------+----------------------------+--------------------------+ ; 0.445 ns ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[0] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.454 ns ; ; 0.637 ns ; Reset_Delay:delay1|Cont[23] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.646 ns ; ; 0.967 ns ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.976 ns ; ; 0.968 ns ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[3] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.977 ns ; ; 0.979 ns ; Reset_Delay:delay1|Cont[5] ; Reset_Delay:delay1|Cont[5] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.988 ns ; ; 0.980 ns ; Reset_Delay:delay1|Cont[7] ; Reset_Delay:delay1|Cont[7] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.989 ns ; ; 0.981 ns ; Reset_Delay:delay1|Cont[9] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.990 ns ; ; 0.981 ns ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.990 ns ; ; 0.982 ns ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.991 ns ; ; 0.984 ns ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.993 ns ; ; 0.984 ns ; Reset_Delay:delay1|Cont[16] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.993 ns ; ; 0.984 ns ; Reset_Delay:delay1|Cont[19] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.993 ns ; ; 0.984 ns ; Reset_Delay:delay1|Cont[21] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.993 ns ; ; 0.985 ns ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 0.994 ns ; ; 1.014 ns ; Reset_Delay:delay1|Cont[20] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.023 ns ; ; 1.015 ns ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.024 ns ; ; 1.019 ns ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[2] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.028 ns ; ; 1.021 ns ; Reset_Delay:delay1|Cont[4] ; Reset_Delay:delay1|Cont[4] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.030 ns ; ; 1.022 ns ; Reset_Delay:delay1|Cont[6] ; Reset_Delay:delay1|Cont[6] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.031 ns ; ; 1.022 ns ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.031 ns ; ; 1.022 ns ; Reset_Delay:delay1|Cont[1] ; Reset_Delay:delay1|Cont[1] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.031 ns ; ; 1.023 ns ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.032 ns ; ; 1.023 ns ; Reset_Delay:delay1|Cont[17] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.032 ns ; ; 1.023 ns ; Reset_Delay:delay1|Cont[18] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.032 ns ; ; 1.200 ns ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[1] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.209 ns ; ; 1.399 ns ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.408 ns ; ; 1.411 ns ; Reset_Delay:delay1|Cont[5] ; Reset_Delay:delay1|Cont[6] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.420 ns ; ; 1.412 ns ; Reset_Delay:delay1|Cont[7] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.421 ns ; ; 1.413 ns ; Reset_Delay:delay1|Cont[9] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.422 ns ; ; 1.414 ns ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.423 ns ; ; 1.416 ns ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.425 ns ; ; 1.416 ns ; Reset_Delay:delay1|Cont[16] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.425 ns ; ; 1.416 ns ; Reset_Delay:delay1|Cont[21] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.425 ns ; ; 1.417 ns ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.426 ns ; ; 1.447 ns ; Reset_Delay:delay1|Cont[20] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.456 ns ; ; 1.448 ns ; Reset_Delay:delay1|Cont[22] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.457 ns ; ; 1.452 ns ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[3] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.461 ns ; ; 1.453 ns ; Reset_Delay:delay1|Cont[1] ; Reset_Delay:delay1|Cont[2] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.462 ns ; ; 1.454 ns ; Reset_Delay:delay1|Cont[4] ; Reset_Delay:delay1|Cont[5] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.463 ns ; ; 1.455 ns ; Reset_Delay:delay1|Cont[6] ; Reset_Delay:delay1|Cont[7] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.464 ns ; ; 1.455 ns ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.464 ns ; ; 1.456 ns ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.465 ns ; ; 1.456 ns ; Reset_Delay:delay1|Cont[18] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.465 ns ; ; 1.456 ns ; Reset_Delay:delay1|Cont[17] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.465 ns ; ; 1.479 ns ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.488 ns ; ; 1.491 ns ; Reset_Delay:delay1|Cont[5] ; Reset_Delay:delay1|Cont[7] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.500 ns ; ; 1.492 ns ; Reset_Delay:delay1|Cont[7] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.501 ns ; ; 1.493 ns ; Reset_Delay:delay1|Cont[9] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.502 ns ; ; 1.494 ns ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.503 ns ; ; 1.496 ns ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.505 ns ; ; 1.496 ns ; Reset_Delay:delay1|Cont[16] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.505 ns ; ; 1.496 ns ; Reset_Delay:delay1|Cont[21] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.505 ns ; ; 1.501 ns ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[4] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.510 ns ; ; 1.511 ns ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.522 ns ; ; 1.517 ns ; Reset_Delay:delay1|Cont[19] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.526 ns ; ; 1.527 ns ; Reset_Delay:delay1|Cont[20] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.536 ns ; ; 1.533 ns ; Reset_Delay:delay1|Cont[1] ; Reset_Delay:delay1|Cont[3] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.542 ns ; ; 1.534 ns ; Reset_Delay:delay1|Cont[4] ; Reset_Delay:delay1|Cont[6] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.543 ns ; ; 1.535 ns ; Reset_Delay:delay1|Cont[6] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.544 ns ; ; 1.535 ns ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.544 ns ; ; 1.536 ns ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.545 ns ; ; 1.536 ns ; Reset_Delay:delay1|Cont[17] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.545 ns ; ; 1.559 ns ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.568 ns ; ; 1.571 ns ; Reset_Delay:delay1|Cont[5] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.580 ns ; ; 1.572 ns ; Reset_Delay:delay1|Cont[7] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.581 ns ; ; 1.574 ns ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.583 ns ; ; 1.576 ns ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.587 ns ; ; 1.576 ns ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.585 ns ; ; 1.576 ns ; Reset_Delay:delay1|Cont[16] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.585 ns ; ; 1.581 ns ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[5] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.590 ns ; ; 1.591 ns ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.602 ns ; ; 1.597 ns ; Reset_Delay:delay1|Cont[19] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.606 ns ; ; 1.607 ns ; Reset_Delay:delay1|Cont[20] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.616 ns ; ; 1.614 ns ; Reset_Delay:delay1|Cont[4] ; Reset_Delay:delay1|Cont[7] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.623 ns ; ; 1.615 ns ; Reset_Delay:delay1|Cont[6] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.624 ns ; ; 1.615 ns ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.624 ns ; ; 1.616 ns ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.625 ns ; ; 1.626 ns ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[4] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.635 ns ; ; 1.630 ns ; Reset_Delay:delay1|Cont[18] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.639 ns ; ; 1.632 ns ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[2] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.641 ns ; ; 1.639 ns ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.648 ns ; ; 1.651 ns ; Reset_Delay:delay1|Cont[5] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.660 ns ; ; 1.652 ns ; Reset_Delay:delay1|Cont[9] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.663 ns ; ; 1.652 ns ; Reset_Delay:delay1|Cont[7] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.661 ns ; ; 1.654 ns ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.663 ns ; ; 1.656 ns ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.667 ns ; ; 1.656 ns ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.665 ns ; ; 1.661 ns ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[6] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.670 ns ; ; 1.671 ns ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.682 ns ; ; 1.677 ns ; Reset_Delay:delay1|Cont[19] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.686 ns ; ; 1.694 ns ; Reset_Delay:delay1|Cont[4] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.703 ns ; ; 1.695 ns ; Reset_Delay:delay1|Cont[6] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.704 ns ; ; 1.695 ns ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.704 ns ; ; 1.706 ns ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[5] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.715 ns ; ; 1.707 ns ; Reset_Delay:delay1|Cont[1] ; Reset_Delay:delay1|Cont[4] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.716 ns ; ; 1.710 ns ; Reset_Delay:delay1|Cont[18] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.719 ns ; ; 1.710 ns ; Reset_Delay:delay1|Cont[17] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.719 ns ; ; 1.712 ns ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[3] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.721 ns ; ; 1.719 ns ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.728 ns ; ; 1.731 ns ; Reset_Delay:delay1|Cont[5] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.740 ns ; ; 1.732 ns ; Reset_Delay:delay1|Cont[9] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.743 ns ; ; 1.734 ns ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.743 ns ; ; 1.736 ns ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.747 ns ; ; 1.736 ns ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.745 ns ; ; 1.741 ns ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[7] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.750 ns ; ; 1.750 ns ; Reset_Delay:delay1|Cont[16] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.759 ns ; ; 1.751 ns ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.762 ns ; ; 1.757 ns ; Reset_Delay:delay1|Cont[19] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.766 ns ; ; 1.774 ns ; Reset_Delay:delay1|Cont[4] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.783 ns ; ; 1.775 ns ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.786 ns ; ; 1.775 ns ; Reset_Delay:delay1|Cont[6] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.784 ns ; ; 1.786 ns ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[6] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.795 ns ; ; 1.787 ns ; Reset_Delay:delay1|Cont[1] ; Reset_Delay:delay1|Cont[5] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.796 ns ; ; 1.790 ns ; Reset_Delay:delay1|Cont[17] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.799 ns ; ; 1.790 ns ; Reset_Delay:delay1|Cont[18] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.799 ns ; ; 1.799 ns ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.808 ns ; ; 1.811 ns ; Reset_Delay:delay1|Cont[7] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.822 ns ; ; 1.811 ns ; Reset_Delay:delay1|Cont[5] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.820 ns ; ; 1.812 ns ; Reset_Delay:delay1|Cont[9] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.823 ns ; ; 1.814 ns ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.823 ns ; ; 1.816 ns ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.827 ns ; ; 1.821 ns ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.830 ns ; ; 1.830 ns ; Reset_Delay:delay1|Cont[16] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.839 ns ; ; 1.831 ns ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.842 ns ; ; 1.854 ns ; Reset_Delay:delay1|Cont[4] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.863 ns ; ; 1.855 ns ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.866 ns ; ; 1.866 ns ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[7] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.875 ns ; ; 1.867 ns ; Reset_Delay:delay1|Cont[1] ; Reset_Delay:delay1|Cont[6] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.876 ns ; ; 1.869 ns ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.878 ns ; ; 1.870 ns ; Reset_Delay:delay1|Cont[18] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.879 ns ; ; 1.870 ns ; Reset_Delay:delay1|Cont[17] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.879 ns ; ; 1.879 ns ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.888 ns ; ; 1.886 ns ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[4] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.895 ns ; ; 1.891 ns ; Reset_Delay:delay1|Cont[7] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.902 ns ; ; 1.892 ns ; Reset_Delay:delay1|Cont[9] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.903 ns ; ; 1.896 ns ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.907 ns ; ; 1.901 ns ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.910 ns ; ; 1.910 ns ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.919 ns ; ; 1.910 ns ; Reset_Delay:delay1|Cont[16] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.919 ns ; ; 1.911 ns ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.922 ns ; ; 1.934 ns ; Reset_Delay:delay1|Cont[6] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.945 ns ; ; 1.934 ns ; Reset_Delay:delay1|Cont[4] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.943 ns ; ; 1.935 ns ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.946 ns ; ; 1.946 ns ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.955 ns ; ; 1.947 ns ; Reset_Delay:delay1|Cont[1] ; Reset_Delay:delay1|Cont[7] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.956 ns ; ; 1.949 ns ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.958 ns ; ; 1.950 ns ; Reset_Delay:delay1|Cont[17] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.959 ns ; ; 1.966 ns ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[5] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.975 ns ; ; 1.970 ns ; Reset_Delay:delay1|Cont[5] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.981 ns ; ; 1.971 ns ; Reset_Delay:delay1|Cont[7] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.982 ns ; ; 1.972 ns ; Reset_Delay:delay1|Cont[9] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.983 ns ; ; 1.976 ns ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 1.987 ns ; ; 1.981 ns ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.990 ns ; ; 1.988 ns ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.997 ns ; ; 1.990 ns ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.999 ns ; ; 1.990 ns ; Reset_Delay:delay1|Cont[16] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 1.999 ns ; ; 1.991 ns ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.002 ns ; ; 2.014 ns ; Reset_Delay:delay1|Cont[6] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.025 ns ; ; 2.015 ns ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.026 ns ; ; 2.026 ns ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.035 ns ; ; 2.027 ns ; Reset_Delay:delay1|Cont[1] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.036 ns ; ; 2.029 ns ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.038 ns ; ; 2.046 ns ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[6] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.055 ns ; ; 2.050 ns ; Reset_Delay:delay1|Cont[5] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.061 ns ; ; 2.050 ns ; Reset_Delay:delay1|Cont[21] ; Reset_Delay:delay1|Cont[0] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.007 ns ; 2.057 ns ; ; 2.051 ns ; Reset_Delay:delay1|Cont[7] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.062 ns ; ; 2.052 ns ; Reset_Delay:delay1|Cont[9] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.063 ns ; ; 2.053 ns ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[20] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.062 ns ; ; 2.056 ns ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.067 ns ; ; 2.061 ns ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.070 ns ; ; 2.068 ns ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.077 ns ; ; 2.070 ns ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.079 ns ; ; 2.071 ns ; Reset_Delay:delay1|Cont[11] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.082 ns ; ; 2.093 ns ; Reset_Delay:delay1|Cont[4] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.104 ns ; ; 2.094 ns ; Reset_Delay:delay1|Cont[6] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.105 ns ; ; 2.095 ns ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.106 ns ; ; 2.098 ns ; Reset_Delay:delay1|Cont[5] ; Reset_Delay:delay1|Cont[0] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.107 ns ; ; 2.106 ns ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.115 ns ; ; 2.107 ns ; Reset_Delay:delay1|Cont[1] ; Reset_Delay:delay1|Cont[9] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.116 ns ; ; 2.109 ns ; Reset_Delay:delay1|Cont[15] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.118 ns ; ; 2.126 ns ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[7] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.135 ns ; ; 2.130 ns ; Reset_Delay:delay1|Cont[5] ; Reset_Delay:delay1|Cont[14] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.141 ns ; ; 2.131 ns ; Reset_Delay:delay1|Cont[7] ; Reset_Delay:delay1|Cont[16] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.142 ns ; ; 2.132 ns ; Reset_Delay:delay1|Cont[9] ; Reset_Delay:delay1|Cont[18] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.143 ns ; ; 2.133 ns ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[21] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.142 ns ; ; 2.136 ns ; Reset_Delay:delay1|Cont[10] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.147 ns ; ; 2.148 ns ; Reset_Delay:delay1|Cont[13] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.157 ns ; ; 2.150 ns ; Reset_Delay:delay1|Cont[14] ; Reset_Delay:delay1|Cont[23] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.159 ns ; ; 2.173 ns ; Reset_Delay:delay1|Cont[4] ; Reset_Delay:delay1|Cont[13] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.184 ns ; ; 2.174 ns ; Reset_Delay:delay1|Cont[6] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.185 ns ; ; 2.175 ns ; Reset_Delay:delay1|Cont[8] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.186 ns ; ; 2.186 ns ; Reset_Delay:delay1|Cont[2] ; Reset_Delay:delay1|Cont[11] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.195 ns ; ; 2.187 ns ; Reset_Delay:delay1|Cont[1] ; Reset_Delay:delay1|Cont[10] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.196 ns ; ; 2.193 ns ; Reset_Delay:delay1|Cont[20] ; Reset_Delay:delay1|Cont[0] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.007 ns ; 2.200 ns ; ; 2.206 ns ; Reset_Delay:delay1|Cont[0] ; Reset_Delay:delay1|Cont[8] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.215 ns ; ; 2.210 ns ; Reset_Delay:delay1|Cont[5] ; Reset_Delay:delay1|Cont[15] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.221 ns ; ; 2.211 ns ; Reset_Delay:delay1|Cont[7] ; Reset_Delay:delay1|Cont[17] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.222 ns ; ; 2.212 ns ; Reset_Delay:delay1|Cont[9] ; Reset_Delay:delay1|Cont[19] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.223 ns ; ; 2.213 ns ; Reset_Delay:delay1|Cont[12] ; Reset_Delay:delay1|Cont[22] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.009 ns ; 2.222 ns ; ; 2.220 ns ; Reset_Delay:delay1|Cont[3] ; Reset_Delay:delay1|Cont[12] ; CLOCK_50 ; CLOCK_50 ; 0.000 ns ; 0.011 ns ; 2.231 ns ; ; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; +-----------------------------------------+-----------------------------------------------------+-----------------------------+------------+----------+----------------------------+----------------------------+--------------------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; tsu ; +-----------------------------------------+-----------------------------------------------------+------------+----------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------+ ; Slack ; Required tsu ; Actual tsu ; From ; To ; To Clock ; +-----------------------------------------+-----------------------------------------------------+------------+----------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------+ ; N/A ; None ; 11.751 ns ; SRAM_DQ[3] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[19] ; CLOCK_50 ; ; N/A ; None ; 11.573 ns ; SRAM_DQ[14] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[30] ; CLOCK_50 ; ; N/A ; None ; 11.242 ns ; SRAM_DQ[2] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[18] ; CLOCK_50 ; ; N/A ; None ; 10.822 ns ; SRAM_DQ[3] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[19] ; CLOCK_50 ; ; N/A ; None ; 10.716 ns ; SRAM_DQ[13] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[29] ; CLOCK_50 ; ; N/A ; None ; 10.546 ns ; SRAM_DQ[4] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[20] ; CLOCK_50 ; ; N/A ; None ; 10.381 ns ; SRAM_DQ[1] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[17] ; CLOCK_50 ; ; N/A ; None ; 10.335 ns ; SRAM_DQ[12] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[28] ; CLOCK_50 ; ; N/A ; None ; 10.305 ns ; SRAM_DQ[10] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[26] ; CLOCK_50 ; ; N/A ; None ; 10.286 ns ; SRAM_DQ[15] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[31] ; CLOCK_50 ; ; N/A ; None ; 10.256 ns ; SRAM_DQ[0] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[16] ; CLOCK_50 ; ; N/A ; None ; 10.176 ns ; SRAM_DQ[5] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[21] ; CLOCK_50 ; ; N/A ; None ; 9.937 ns ; SRAM_DQ[9] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[25] ; CLOCK_50 ; ; N/A ; None ; 9.795 ns ; SRAM_DQ[11] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[27] ; CLOCK_50 ; ; N/A ; None ; 9.661 ns ; SRAM_DQ[9] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[25] ; CLOCK_50 ; ; N/A ; None ; 9.647 ns ; SRAM_DQ[7] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[23] ; CLOCK_50 ; ; N/A ; None ; 9.623 ns ; SRAM_DQ[6] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[22] ; CLOCK_50 ; ; N/A ; None ; 9.571 ns ; SRAM_DQ[8] ; system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[24] ; CLOCK_50 ; ; N/A ; None ; 9.411 ns ; SRAM_DQ[1] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[17] ; CLOCK_50 ; ; N/A ; None ; 9.313 ns ; SRAM_DQ[14] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[30] ; CLOCK_50 ; ; N/A ; None ; 9.257 ns ; SRAM_DQ[13] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[29] ; CLOCK_50 ; ; N/A ; None ; 8.924 ns ; SRAM_DQ[0] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[16] ; CLOCK_50 ; ; N/A ; None ; 8.907 ns ; SRAM_DQ[2] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[18] ; CLOCK_50 ; ; N/A ; None ; 8.902 ns ; SRAM_DQ[12] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[28] ; CLOCK_50 ; ; N/A ; None ; 8.885 ns ; SRAM_DQ[15] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[31] ; CLOCK_50 ; ; N/A ; None ; 8.824 ns ; SRAM_DQ[11] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[27] ; CLOCK_50 ; ; N/A ; None ; 8.671 ns ; SRAM_DQ[7] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[23] ; CLOCK_50 ; ; N/A ; None ; 8.634 ns ; SRAM_DQ[4] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[20] ; CLOCK_50 ; ; N/A ; None ; 8.519 ns ; SRAM_DQ[10] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[26] ; CLOCK_50 ; ; N/A ; None ; 8.477 ns ; SRAM_DQ[2] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[2] ; CLOCK_50 ; ; N/A ; None ; 8.462 ns ; SRAM_DQ[5] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[21] ; CLOCK_50 ; ; N/A ; None ; 8.243 ns ; SRAM_DQ[6] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[22] ; CLOCK_50 ; ; N/A ; None ; 8.158 ns ; SRAM_DQ[8] ; system_0:u0|cpu_0:the_cpu_0|i_readdata_d1[24] ; CLOCK_50 ; ; N/A ; None ; 8.019 ns ; SRAM_DQ[14] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[14] ; CLOCK_50 ; ; N/A ; None ; 7.996 ns ; SRAM_DQ[3] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[3] ; CLOCK_50 ; ; N/A ; None ; 7.974 ns ; SRAM_DQ[13] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[13] ; CLOCK_50 ; ; N/A ; None ; 7.961 ns ; SRAM_DQ[9] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[9] ; CLOCK_50 ; ; N/A ; None ; 7.928 ns ; SRAM_DQ[10] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[10] ; CLOCK_50 ; ; N/A ; None ; 7.906 ns ; SRAM_DQ[0] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[0] ; CLOCK_50 ; ; N/A ; None ; 7.894 ns ; SRAM_DQ[14] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[14] ; CLOCK_50 ; ; N/A ; None ; 7.694 ns ; SRAM_DQ[7] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[7] ; CLOCK_50 ; ; N/A ; None ; 7.687 ns ; SRAM_DQ[10] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[10] ; CLOCK_50 ; ; N/A ; None ; 7.681 ns ; SRAM_DQ[8] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[8] ; CLOCK_50 ; ; N/A ; None ; 7.616 ns ; UART_RXD ; system_0:u0|uart_0:the_uart_0|uart_0_rx:the_uart_0_rx|d1_source_rxd ; CLOCK_50 ; ; N/A ; None ; 7.563 ns ; SRAM_DQ[0] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[0] ; CLOCK_50 ; ; N/A ; None ; 7.486 ns ; KEY[0] ; system_0:u0|KEY:the_KEY|readdata[0] ; CLOCK_50 ; ; N/A ; None ; 7.437 ns ; SRAM_DQ[13] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[13] ; CLOCK_50 ; ; N/A ; None ; 7.433 ns ; SRAM_DQ[15] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[15] ; CLOCK_50 ; ; N/A ; None ; 7.351 ns ; SRAM_DQ[12] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[12] ; CLOCK_50 ; ; N/A ; None ; 7.332 ns ; SRAM_DQ[11] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[11] ; CLOCK_50 ; ; N/A ; None ; 7.325 ns ; SRAM_DQ[2] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[2] ; CLOCK_50 ; ; N/A ; None ; 7.218 ns ; SRAM_DQ[1] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[1] ; CLOCK_50 ; ; N/A ; None ; 7.215 ns ; SRAM_DQ[1] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[1] ; CLOCK_50 ; ; N/A ; None ; 7.202 ns ; SRAM_DQ[4] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[4] ; CLOCK_50 ; ; N/A ; None ; 7.195 ns ; KEY[2] ; system_0:u0|KEY:the_KEY|readdata[2] ; CLOCK_50 ; ; N/A ; None ; 7.191 ns ; KEY[2] ; system_0:u0|KEY:the_KEY|d1_data_in[2] ; CLOCK_50 ; ; N/A ; None ; 7.141 ns ; KEY[3] ; system_0:u0|KEY:the_KEY|readdata[3] ; CLOCK_50 ; ; N/A ; None ; 7.136 ns ; SRAM_DQ[12] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[12] ; CLOCK_50 ; ; N/A ; None ; 7.136 ns ; KEY[3] ; system_0:u0|KEY:the_KEY|d1_data_in[3] ; CLOCK_50 ; ; N/A ; None ; 7.118 ns ; SRAM_DQ[5] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[5] ; CLOCK_50 ; ; N/A ; None ; 7.101 ns ; KEY[0] ; system_0:u0|KEY:the_KEY|d1_data_in[0] ; CLOCK_50 ; ; N/A ; None ; 7.095 ns ; SRAM_DQ[15] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[15] ; CLOCK_50 ; ; N/A ; None ; 7.086 ns ; SRAM_DQ[5] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[5] ; CLOCK_50 ; ; N/A ; None ; 7.063 ns ; SRAM_DQ[3] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[3] ; CLOCK_50 ; ; N/A ; None ; 7.057 ns ; SRAM_DQ[11] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[11] ; CLOCK_50 ; ; N/A ; None ; 6.948 ns ; KEY[1] ; system_0:u0|KEY:the_KEY|readdata[1] ; CLOCK_50 ; ; N/A ; None ; 6.948 ns ; KEY[1] ; system_0:u0|KEY:the_KEY|d1_data_in[1] ; CLOCK_50 ; ; N/A ; None ; 6.926 ns ; SRAM_DQ[6] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[6] ; CLOCK_50 ; ; N/A ; None ; 6.892 ns ; SRAM_DQ[9] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[9] ; CLOCK_50 ; ; N/A ; None ; 6.819 ns ; SRAM_DQ[4] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[4] ; CLOCK_50 ; ; N/A ; None ; 6.675 ns ; SRAM_DQ[8] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[8] ; CLOCK_50 ; ; N/A ; None ; 6.624 ns ; SRAM_DQ[7] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[7] ; CLOCK_50 ; ; N/A ; None ; 6.600 ns ; SRAM_DQ[6] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[6] ; CLOCK_50 ; ; N/A ; None ; 6.135 ns ; FL_DQ[3] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[3] ; CLOCK_50 ; ; N/A ; None ; 6.135 ns ; FL_DQ[2] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[2] ; CLOCK_50 ; ; N/A ; None ; 6.133 ns ; FL_DQ[1] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[1] ; CLOCK_50 ; ; N/A ; None ; 6.133 ns ; FL_DQ[0] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[0] ; CLOCK_50 ; ; N/A ; None ; 6.124 ns ; FL_DQ[4] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[4] ; CLOCK_50 ; ; N/A ; None ; 6.122 ns ; FL_DQ[5] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[5] ; CLOCK_50 ; ; N/A ; None ; 6.121 ns ; DRAM_DQ[1] ; system_0:u0|sdram_0:the_sdram_0|za_data[1] ; CLOCK_50 ; ; N/A ; None ; 6.121 ns ; DRAM_DQ[0] ; system_0:u0|sdram_0:the_sdram_0|za_data[0] ; CLOCK_50 ; ; N/A ; None ; 6.121 ns ; FL_DQ[6] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[6] ; CLOCK_50 ; ; N/A ; None ; 6.112 ns ; DRAM_DQ[7] ; system_0:u0|sdram_0:the_sdram_0|za_data[7] ; CLOCK_50 ; ; N/A ; None ; 6.112 ns ; DRAM_DQ[6] ; system_0:u0|sdram_0:the_sdram_0|za_data[6] ; CLOCK_50 ; ; N/A ; None ; 6.112 ns ; DRAM_DQ[3] ; system_0:u0|sdram_0:the_sdram_0|za_data[3] ; CLOCK_50 ; ; N/A ; None ; 6.112 ns ; DRAM_DQ[2] ; system_0:u0|sdram_0:the_sdram_0|za_data[2] ; CLOCK_50 ; ; N/A ; None ; 6.111 ns ; FL_DQ[7] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[7] ; CLOCK_50 ; ; N/A ; None ; 6.102 ns ; DRAM_DQ[14] ; system_0:u0|sdram_0:the_sdram_0|za_data[14] ; CLOCK_50 ; ; N/A ; None ; 6.102 ns ; DRAM_DQ[15] ; system_0:u0|sdram_0:the_sdram_0|za_data[15] ; CLOCK_50 ; ; N/A ; None ; 6.102 ns ; DRAM_DQ[13] ; system_0:u0|sdram_0:the_sdram_0|za_data[13] ; CLOCK_50 ; ; N/A ; None ; 6.102 ns ; DRAM_DQ[12] ; system_0:u0|sdram_0:the_sdram_0|za_data[12] ; CLOCK_50 ; ; N/A ; None ; 6.102 ns ; DRAM_DQ[4] ; system_0:u0|sdram_0:the_sdram_0|za_data[4] ; CLOCK_50 ; ; N/A ; None ; 6.089 ns ; DRAM_DQ[10] ; system_0:u0|sdram_0:the_sdram_0|za_data[10] ; CLOCK_50 ; ; N/A ; None ; 6.089 ns ; DRAM_DQ[11] ; system_0:u0|sdram_0:the_sdram_0|za_data[11] ; CLOCK_50 ; ; N/A ; None ; 6.087 ns ; DRAM_DQ[9] ; system_0:u0|sdram_0:the_sdram_0|za_data[9] ; CLOCK_50 ; ; N/A ; None ; 6.087 ns ; DRAM_DQ[8] ; system_0:u0|sdram_0:the_sdram_0|za_data[8] ; CLOCK_50 ; ; N/A ; None ; 6.072 ns ; DRAM_DQ[5] ; system_0:u0|sdram_0:the_sdram_0|za_data[5] ; CLOCK_50 ; ; N/A ; None ; 5.458 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|dr_update1 ; CLOCK_50 ; ; N/A ; None ; 5.346 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 5.317 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[12] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 5.313 ns ; altera_internal_jtag~RUNIDLEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_oci_debug:the_cpu_0_nios2_oci_debug|monitor_go ; CLOCK_50 ; ; N/A ; None ; 5.142 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[13] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 4.722 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[36] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 4.722 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[37] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 4.355 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[24] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 4.319 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[29] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 4.187 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[25] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 4.170 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[23] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 4.151 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[22] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 4.123 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[30] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 4.120 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[26] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 4.072 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[32] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 4.021 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[18] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 4.021 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[17] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 4.019 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[19] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.973 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[16] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.910 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[11] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.876 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[27] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.863 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[28] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.781 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[20] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.779 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[21] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.715 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[9] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.528 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[10] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.407 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[7] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.407 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[8] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.407 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[12] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.407 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[13] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.407 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[1] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.407 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.321 ns ; SW[3] ; system_0:u0|Switch:the_Switch|readdata[3] ; CLOCK_50 ; ; N/A ; None ; 3.305 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[33] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.286 ns ; SW[8] ; system_0:u0|Switch:the_Switch|readdata[8] ; CLOCK_50 ; ; N/A ; None ; 3.268 ns ; SW[2] ; system_0:u0|Switch:the_Switch|readdata[2] ; CLOCK_50 ; ; N/A ; None ; 3.268 ns ; SW[1] ; system_0:u0|Switch:the_Switch|readdata[1] ; CLOCK_50 ; ; N/A ; None ; 3.209 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[35] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.192 ns ; SW[9] ; system_0:u0|Switch:the_Switch|readdata[9] ; CLOCK_50 ; ; N/A ; None ; 3.190 ns ; SW[7] ; system_0:u0|Switch:the_Switch|readdata[7] ; CLOCK_50 ; ; N/A ; None ; 3.117 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[6] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.117 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[5] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.117 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.117 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[15] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.117 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[3] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.117 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[4] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.117 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[2] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.102 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[11] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.102 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[9] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.102 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[10] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.081 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[36] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.081 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[37] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.071 ns ; SW[5] ; system_0:u0|Switch:the_Switch|readdata[5] ; CLOCK_50 ; ; N/A ; None ; 3.056 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[22] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.056 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[20] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.056 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[21] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.056 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[18] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.056 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[16] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.056 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[17] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.056 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[19] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.026 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[34] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.026 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[23] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.026 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[24] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.026 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[26] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.026 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[27] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.026 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[28] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.026 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[29] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.026 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[30] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.026 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[32] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.026 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[33] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.026 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[25] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.992 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[35] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.992 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[31] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.818 ns ; SW[6] ; system_0:u0|Switch:the_Switch|readdata[6] ; CLOCK_50 ; ; N/A ; None ; 2.765 ns ; SW[0] ; system_0:u0|Switch:the_Switch|readdata[0] ; CLOCK_50 ; ; N/A ; None ; 2.612 ns ; SW[4] ; system_0:u0|Switch:the_Switch|readdata[4] ; CLOCK_50 ; ; N/A ; None ; 2.406 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.372 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[34] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.338 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[31] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.860 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[15] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.846 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.846 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[1] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.789 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[1] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.766 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[7] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.766 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[8] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.651 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|in_between_shiftdr_and_updatedr ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.476 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[6] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.476 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[5] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.476 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[3] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.476 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[4] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.476 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[2] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.174 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|DRsize.100 ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.174 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|DRsize.010 ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.174 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|DRsize.000 ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.007 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|st_shiftdr ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.152 ns ; altera_internal_jtag ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[35] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.083 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[1] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.083 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[7] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.083 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[2] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.083 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[3] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.083 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.087 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.087 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA_0|Q[0] ; altera_internal_jtag~TCKUTAP ; ; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; +-----------------------------------------+-----------------------------------------------------+------------+----------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; tco ; +-----------------------------------------+-----------------------------------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------+------------+ ; Slack ; Required tco ; Actual tco ; From ; To ; From Clock ; +-----------------------------------------+-----------------------------------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------+------------+ ; N/A ; None ; 11.994 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.953 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.888 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.873 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.873 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.847 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.837 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.822 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.767 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.767 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.736 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.731 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.717 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.716 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.713 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.695 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.676 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.672 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.625 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[21] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.615 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.615 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.596 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.596 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.592 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.592 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.589 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 11.584 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[21] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.579 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.564 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.560 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.556 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.549 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 11.545 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.541 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.539 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[2] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.512 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[0] ; CLOCK_50 ; ; N/A ; None ; 11.504 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[21] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.504 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[21] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.498 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[2] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.483 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 11.468 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[21] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.462 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[11] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.461 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[13] ; CLOCK_50 ; ; N/A ; None ; 11.453 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[21] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.444 ns ; system_0:u0|cpu_0:the_cpu_0|d_write ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.443 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|fifo_contains_ones_n ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.443 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 11.421 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[11] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.418 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[2] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.418 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[2] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.406 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_ADDR[0] ; CLOCK_50 ; ; N/A ; None ; 11.403 ns ; system_0:u0|cpu_0:the_cpu_0|d_write ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.402 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|fifo_contains_ones_n ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.382 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[2] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.367 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[2] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.362 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[8] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.355 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_ADDR[13] ; CLOCK_50 ; ; N/A ; None ; 11.354 ns ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_dbs_address[1] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.341 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[11] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.341 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[11] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.331 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 11.330 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[20] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.323 ns ; system_0:u0|cpu_0:the_cpu_0|d_write ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.323 ns ; system_0:u0|cpu_0:the_cpu_0|d_write ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.322 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|fifo_contains_ones_n ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.322 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|fifo_contains_ones_n ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.321 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[8] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.313 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.313 ns ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_dbs_address[1] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.312 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 11.308 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 11.305 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[11] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.291 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 11.290 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[11] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.289 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[20] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.287 ns ; system_0:u0|cpu_0:the_cpu_0|d_write ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.286 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|fifo_contains_ones_n ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.272 ns ; system_0:u0|cpu_0:the_cpu_0|d_write ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.272 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.272 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 11.271 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|fifo_contains_ones_n ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.268 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 11.254 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_ADDR[0] ; CLOCK_50 ; ; N/A ; None ; 11.248 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[17] ; CLOCK_50 ; ; N/A ; None ; 11.241 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[8] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.241 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[8] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.235 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_ADDR[0] ; CLOCK_50 ; ; N/A ; None ; 11.233 ns ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_dbs_address[1] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.233 ns ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_dbs_address[1] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.231 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_ADDR[0] ; CLOCK_50 ; ; N/A ; None ; 11.220 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[21] ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 11.212 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[1] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.209 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[20] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.209 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[20] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.205 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[8] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.203 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_ADDR[13] ; CLOCK_50 ; ; N/A ; None ; 11.197 ns ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_dbs_address[1] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.192 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[5] ; CLOCK_50 ; ; N/A ; None ; 11.192 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.192 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.191 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_OE_N ; CLOCK_50 ; ; N/A ; None ; 11.190 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[8] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.185 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[0] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.184 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_ADDR[13] ; CLOCK_50 ; ; N/A ; None ; 11.182 ns ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_dbs_address[1] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.180 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_ADDR[13] ; CLOCK_50 ; ; N/A ; None ; 11.180 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[21] ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 11.173 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[20] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.171 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[1] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.158 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[20] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.156 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.144 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[0] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.143 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[21] ; SRAM_ADDR[0] ; CLOCK_50 ; ; N/A ; None ; 11.142 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_ADDR[17] ; CLOCK_50 ; ; N/A ; None ; 11.141 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.134 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[2] ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 11.132 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_DQ[15] ; CLOCK_50 ; ; N/A ; None ; 11.107 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[12] ; CLOCK_50 ; ; N/A ; None ; 11.094 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[2] ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 11.092 ns ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|last_cycle_cpu_0_instruction_master_granted_slave_sram_0_avalonS ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.092 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[21] ; SRAM_ADDR[13] ; CLOCK_50 ; ; N/A ; None ; 11.091 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[1] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.091 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[1] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.086 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_ADDR[5] ; CLOCK_50 ; ; N/A ; None ; 11.085 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_OE_N ; CLOCK_50 ; ; N/A ; None ; 11.064 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[0] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 11.064 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[0] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 11.057 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[11] ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 11.057 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[2] ; SRAM_ADDR[0] ; CLOCK_50 ; ; N/A ; None ; 11.055 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[1] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.051 ns ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|last_cycle_cpu_0_instruction_master_granted_slave_sram_0_avalonS ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 11.041 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[1] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.040 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[1] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.039 ns ; system_0:u0|cpu_0:the_cpu_0|d_write ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 11.038 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|fifo_contains_ones_n ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 11.028 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[0] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 11.026 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_DQ[15] ; CLOCK_50 ; ; N/A ; None ; 11.025 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[0] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 11.017 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[11] ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 11.013 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[0] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 11.006 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[2] ; SRAM_ADDR[13] ; CLOCK_50 ; ; N/A ; None ; 11.001 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[9] ; SRAM_ADDR[12] ; CLOCK_50 ; ; N/A ; None ; 11.000 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[1] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 10.999 ns ; system_0:u0|cpu_0:the_cpu_0|d_write ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 10.998 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|fifo_contains_ones_n ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 10.990 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_ADDR[17] ; CLOCK_50 ; ; N/A ; None ; 10.984 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[0] ; SRAM_ADDR[4] ; CLOCK_50 ; ; N/A ; None ; 10.980 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[11] ; SRAM_ADDR[0] ; CLOCK_50 ; ; N/A ; None ; 10.971 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_ADDR[17] ; CLOCK_50 ; ; N/A ; None ; 10.971 ns ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|last_cycle_cpu_0_instruction_master_granted_slave_sram_0_avalonS ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 10.971 ns ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|last_cycle_cpu_0_instruction_master_granted_slave_sram_0_avalonS ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 10.967 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_ADDR[17] ; CLOCK_50 ; ; N/A ; None ; 10.962 ns ; system_0:u0|cpu_0:the_cpu_0|d_write ; SRAM_ADDR[0] ; CLOCK_50 ; ; N/A ; None ; 10.961 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|fifo_contains_ones_n ; SRAM_ADDR[0] ; CLOCK_50 ; ; N/A ; None ; 10.957 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[8] ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 10.949 ns ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_dbs_address[1] ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 10.935 ns ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|last_cycle_cpu_0_instruction_master_granted_slave_sram_0_avalonS ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 10.934 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_ADDR[5] ; CLOCK_50 ; ; N/A ; None ; 10.933 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_OE_N ; CLOCK_50 ; ; N/A ; None ; 10.929 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[11] ; SRAM_ADDR[13] ; CLOCK_50 ; ; N/A ; None ; 10.925 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[20] ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 10.920 ns ; system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|last_cycle_cpu_0_instruction_master_granted_slave_sram_0_avalonS ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 10.920 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[1] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 10.920 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[1] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 10.917 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[8] ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 10.915 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_ADDR[5] ; CLOCK_50 ; ; N/A ; None ; 10.914 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_OE_N ; CLOCK_50 ; ; N/A ; None ; 10.911 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_DQ[1] ; CLOCK_50 ; ; N/A ; None ; 10.911 ns ; system_0:u0|cpu_0:the_cpu_0|d_write ; SRAM_ADDR[13] ; CLOCK_50 ; ; N/A ; None ; 10.911 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_ADDR[5] ; CLOCK_50 ; ; N/A ; None ; 10.910 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_OE_N ; CLOCK_50 ; ; N/A ; None ; 10.910 ns ; system_0:u0|sdram_0_s1_arbitrator:the_sdram_0_s1|rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1_module:rdv_fifo_for_cpu_0_instruction_master_to_sdram_0_s1|fifo_contains_ones_n ; SRAM_ADDR[13] ; CLOCK_50 ; ; N/A ; None ; 10.909 ns ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_dbs_address[1] ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 10.908 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; SRAM_ADDR[10] ; CLOCK_50 ; ; N/A ; None ; 10.907 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[16] ; CLOCK_50 ; ; N/A ; None ; 10.905 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_DQ[3] ; CLOCK_50 ; ; N/A ; None ; 10.904 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[0] ; SRAM_ADDR[3] ; CLOCK_50 ; ; N/A ; None ; 10.904 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[0] ; SRAM_ADDR[2] ; CLOCK_50 ; ; N/A ; None ; 10.901 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_DQ[0] ; CLOCK_50 ; ; N/A ; None ; 10.898 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_ADDR[15] ; CLOCK_50 ; ; N/A ; None ; 10.895 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_DQ[2] ; CLOCK_50 ; ; N/A ; None ; 10.885 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[20] ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 10.884 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[1] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 10.881 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_DQ[14] ; CLOCK_50 ; ; N/A ; None ; 10.880 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[8] ; SRAM_ADDR[0] ; CLOCK_50 ; ; N/A ; None ; 10.879 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[21] ; SRAM_ADDR[17] ; CLOCK_50 ; ; N/A ; None ; 10.875 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_DQ[13] ; CLOCK_50 ; ; N/A ; None ; 10.874 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_DQ[15] ; CLOCK_50 ; ; N/A ; None ; 10.872 ns ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_dbs_address[1] ; SRAM_ADDR[0] ; CLOCK_50 ; ; N/A ; None ; 10.869 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[1] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 10.868 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[0] ; SRAM_LB_N ; CLOCK_50 ; ; N/A ; None ; 10.868 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; SRAM_ADDR[1] ; CLOCK_50 ; ; N/A ; None ; 10.865 ns ; system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10] ; SRAM_DQ[10] ; CLOCK_50 ; ; N/A ; None ; 10.856 ns ; system_0:u0|cpu_0:the_cpu_0|d_byteenable[3] ; SRAM_ADDR[14] ; CLOCK_50 ; ; N/A ; None ; 10.855 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[22] ; SRAM_DQ[15] ; CLOCK_50 ; ; N/A ; None ; 10.853 ns ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|cpu_0_instruction_master_latency_counter[0] ; SRAM_UB_N ; CLOCK_50 ; ; N/A ; None ; 10.851 ns ; system_0:u0|cpu_0:the_cpu_0|i_read ; SRAM_DQ[15] ; CLOCK_50 ; ; N/A ; None ; 10.849 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[23] ; SRAM_ADDR[12] ; CLOCK_50 ; ; N/A ; None ; 10.848 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[20] ; SRAM_ADDR[0] ; CLOCK_50 ; ; N/A ; None ; 10.831 ns ; system_0:u0|cpu_0:the_cpu_0|d_address[19] ; SRAM_ADDR[0] ; CLOCK_50 ; ; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; +-----------------------------------------+-----------------------------------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------+------------+ +----------------------------------------------------------------------------------------------+ ; tpd ; +-------+-------------------+-----------------+--------------------------+---------------------+ ; Slack ; Required P2P Time ; Actual P2P Time ; From ; To ; +-------+-------------------+-----------------+--------------------------+---------------------+ ; N/A ; None ; 2.810 ns ; altera_internal_jtag~TDO ; altera_reserved_tdo ; +-------+-------------------+-----------------+--------------------------+---------------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; th ; +-----------------------------------------+-----------------------------------------------------+-----------+----------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------+ ; Minimum Slack ; Required th ; Actual th ; From ; To ; To Clock ; +-----------------------------------------+-----------------------------------------------------+-----------+----------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------+ ; N/A ; None ; 3.496 ns ; altera_internal_jtag ; sld_hub:sld_hub_inst|lpm_shiftreg:jtag_ir_register|dffs[9] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 3.185 ns ; altera_internal_jtag ; sld_hub:sld_hub_inst|HUB_BYPASS_REG ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.978 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[14] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.976 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[12] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.973 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[10] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.971 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[9] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.966 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[7] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.965 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[3] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.907 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[15] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.907 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[8] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.907 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|tms_cnt[1] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.907 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|tms_cnt[2] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.838 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[1] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.838 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[4] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.838 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[11] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.838 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[6] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.838 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[13] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.802 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|tms_cnt[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.767 ns ; altera_internal_jtag ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|wdata[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.761 ns ; altera_internal_jtag ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|wdata[7] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.745 ns ; altera_internal_jtag ; sld_hub:sld_hub_inst|sld_dffex:IRSR|Q[6] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.730 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.726 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[5] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.725 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_jtag_state_machine:jtag_state_machine|state[2] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.685 ns ; altera_internal_jtag ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[37] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.680 ns ; altera_internal_jtag ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA_0|Q[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.679 ns ; altera_internal_jtag ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.678 ns ; altera_internal_jtag ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[1] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.555 ns ; altera_internal_jtag ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|write_stalled ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.530 ns ; altera_internal_jtag ; sld_hub:sld_hub_inst|sld_rom_sr:HUB_INFO_REG|WORD_SR[3] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.198 ns ; altera_internal_jtag ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|state ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.122 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|jtag_debug_mode ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.066 ns ; altera_internal_jtag ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|td_shift[10] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.018 ns ; altera_internal_jtag ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|td_shift[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 2.013 ns ; altera_internal_jtag ; system_0:u0|jtag_uart_0:the_jtag_uart_0|alt_jtag_atlantic:jtag_uart_0_alt_jtag_atlantic|count[9] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.772 ns ; altera_internal_jtag ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.732 ns ; altera_internal_jtag ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[1] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.732 ns ; altera_internal_jtag ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[7] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.732 ns ; altera_internal_jtag ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[2] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.732 ns ; altera_internal_jtag ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[3] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.732 ns ; altera_internal_jtag ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.589 ns ; altera_internal_jtag ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[15] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.335 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.335 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA_0|Q[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.335 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|sld_dffex:IRF_ENA|Q[1] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.331 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[1] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.331 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[7] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.331 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[2] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.331 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[3] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 1.331 ns ; altera_internal_jtag~TMSUTAP ; sld_hub:sld_hub_inst|lpm_decode:instruction_decoder|decode_aoi:auto_generated|dffe1a[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 0.400 ns ; altera_internal_jtag ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[35] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 0.363 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[7] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; 0.255 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|st_shiftdr ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.856 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[20] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.857 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[22] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.857 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[16] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.861 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[18] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.867 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[17] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.867 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[19] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.868 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[21] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.926 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|DRsize.100 ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.926 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|DRsize.010 ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.926 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|DRsize.000 ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.976 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[9] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.979 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[11] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.979 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[10] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.986 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[6] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.990 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[4] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.992 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[2] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.994 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[5] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.996 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -0.997 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[3] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.057 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[29] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.059 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[23] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.145 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[35] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.147 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.154 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[8] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.228 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[15] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.259 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[32] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.298 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[34] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.299 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[25] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.300 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[24] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.300 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[27] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.301 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[26] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.403 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|in_between_shiftdr_and_updatedr ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.503 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[30] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.507 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[12] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.512 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[13] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.515 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[36] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.515 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[37] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.518 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[1] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.539 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[28] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.598 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.598 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|ir[1] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.756 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[31] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -1.790 ns ; altera_internal_jtag~SHIFTUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[33] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.364 ns ; SW[4] ; system_0:u0|Switch:the_Switch|readdata[4] ; CLOCK_50 ; ; N/A ; None ; -2.517 ns ; SW[0] ; system_0:u0|Switch:the_Switch|readdata[0] ; CLOCK_50 ; ; N/A ; None ; -2.570 ns ; SW[6] ; system_0:u0|Switch:the_Switch|readdata[6] ; CLOCK_50 ; ; N/A ; None ; -2.744 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[35] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.744 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[31] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.778 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[34] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.778 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[23] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.778 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[24] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.778 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[26] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.778 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[27] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.778 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[28] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.778 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[29] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.778 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[30] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.778 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[32] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.778 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[33] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.778 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[25] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.808 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[22] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.808 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[20] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.808 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[21] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.808 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[18] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.808 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[16] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.808 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[17] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.808 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[19] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.823 ns ; SW[5] ; system_0:u0|Switch:the_Switch|readdata[5] ; CLOCK_50 ; ; N/A ; None ; -2.854 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[11] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.854 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[9] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.854 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[10] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.869 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[6] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.869 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[5] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.869 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.869 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[15] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.869 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[3] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.869 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[4] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.869 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[2] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -2.942 ns ; SW[7] ; system_0:u0|Switch:the_Switch|readdata[7] ; CLOCK_50 ; ; N/A ; None ; -2.944 ns ; SW[9] ; system_0:u0|Switch:the_Switch|readdata[9] ; CLOCK_50 ; ; N/A ; None ; -3.020 ns ; SW[2] ; system_0:u0|Switch:the_Switch|readdata[2] ; CLOCK_50 ; ; N/A ; None ; -3.020 ns ; SW[1] ; system_0:u0|Switch:the_Switch|readdata[1] ; CLOCK_50 ; ; N/A ; None ; -3.038 ns ; SW[8] ; system_0:u0|Switch:the_Switch|readdata[8] ; CLOCK_50 ; ; N/A ; None ; -3.073 ns ; SW[3] ; system_0:u0|Switch:the_Switch|readdata[3] ; CLOCK_50 ; ; N/A ; None ; -3.159 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[7] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -3.159 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[8] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -3.159 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[12] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -3.159 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[13] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -3.159 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[1] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -3.159 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[0] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -4.474 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[36] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -4.474 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[37] ; altera_internal_jtag~TCKUTAP ; ; N/A ; None ; -5.065 ns ; altera_internal_jtag~RUNIDLEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_nios2_oci_debug:the_cpu_0_nios2_oci_debug|monitor_go ; CLOCK_50 ; ; N/A ; None ; -5.210 ns ; altera_internal_jtag~UPDATEUSER ; system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|dr_update1 ; CLOCK_50 ; ; N/A ; None ; -5.886 ns ; DRAM_DQ[5] ; system_0:u0|sdram_0:the_sdram_0|za_data[5] ; CLOCK_50 ; ; N/A ; None ; -5.901 ns ; DRAM_DQ[9] ; system_0:u0|sdram_0:the_sdram_0|za_data[9] ; CLOCK_50 ; ; N/A ; None ; -5.901 ns ; DRAM_DQ[8] ; system_0:u0|sdram_0:the_sdram_0|za_data[8] ; CLOCK_50 ; ; N/A ; None ; -5.903 ns ; DRAM_DQ[10] ; system_0:u0|sdram_0:the_sdram_0|za_data[10] ; CLOCK_50 ; ; N/A ; None ; -5.903 ns ; DRAM_DQ[11] ; system_0:u0|sdram_0:the_sdram_0|za_data[11] ; CLOCK_50 ; ; N/A ; None ; -5.907 ns ; FL_DQ[7] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[7] ; CLOCK_50 ; ; N/A ; None ; -5.916 ns ; DRAM_DQ[14] ; system_0:u0|sdram_0:the_sdram_0|za_data[14] ; CLOCK_50 ; ; N/A ; None ; -5.916 ns ; DRAM_DQ[15] ; system_0:u0|sdram_0:the_sdram_0|za_data[15] ; CLOCK_50 ; ; N/A ; None ; -5.916 ns ; DRAM_DQ[13] ; system_0:u0|sdram_0:the_sdram_0|za_data[13] ; CLOCK_50 ; ; N/A ; None ; -5.916 ns ; DRAM_DQ[12] ; system_0:u0|sdram_0:the_sdram_0|za_data[12] ; CLOCK_50 ; ; N/A ; None ; -5.916 ns ; DRAM_DQ[4] ; system_0:u0|sdram_0:the_sdram_0|za_data[4] ; CLOCK_50 ; ; N/A ; None ; -5.917 ns ; FL_DQ[6] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[6] ; CLOCK_50 ; ; N/A ; None ; -5.918 ns ; FL_DQ[5] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[5] ; CLOCK_50 ; ; N/A ; None ; -5.920 ns ; FL_DQ[4] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[4] ; CLOCK_50 ; ; N/A ; None ; -5.926 ns ; DRAM_DQ[7] ; system_0:u0|sdram_0:the_sdram_0|za_data[7] ; CLOCK_50 ; ; N/A ; None ; -5.926 ns ; DRAM_DQ[6] ; system_0:u0|sdram_0:the_sdram_0|za_data[6] ; CLOCK_50 ; ; N/A ; None ; -5.926 ns ; DRAM_DQ[3] ; system_0:u0|sdram_0:the_sdram_0|za_data[3] ; CLOCK_50 ; ; N/A ; None ; -5.926 ns ; DRAM_DQ[2] ; system_0:u0|sdram_0:the_sdram_0|za_data[2] ; CLOCK_50 ; ; N/A ; None ; -5.929 ns ; FL_DQ[1] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[1] ; CLOCK_50 ; ; N/A ; None ; -5.929 ns ; FL_DQ[0] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[0] ; CLOCK_50 ; ; N/A ; None ; -5.931 ns ; FL_DQ[3] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[3] ; CLOCK_50 ; ; N/A ; None ; -5.931 ns ; FL_DQ[2] ; system_0:u0|tri_state_bridge_0_avalon_slave_arbitrator:the_tri_state_bridge_0_avalon_slave|incoming_tri_state_bridge_0_data[2] ; CLOCK_50 ; ; N/A ; None ; -5.935 ns ; DRAM_DQ[1] ; system_0:u0|sdram_0:the_sdram_0|za_data[1] ; CLOCK_50 ; ; N/A ; None ; -5.935 ns ; DRAM_DQ[0] ; system_0:u0|sdram_0:the_sdram_0|za_data[0] ; CLOCK_50 ; ; N/A ; None ; -6.352 ns ; SRAM_DQ[6] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[6] ; CLOCK_50 ; ; N/A ; None ; -6.376 ns ; SRAM_DQ[7] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[7] ; CLOCK_50 ; ; N/A ; None ; -6.427 ns ; SRAM_DQ[8] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[8] ; CLOCK_50 ; ; N/A ; None ; -6.571 ns ; SRAM_DQ[4] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[4] ; CLOCK_50 ; ; N/A ; None ; -6.644 ns ; SRAM_DQ[9] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[9] ; CLOCK_50 ; ; N/A ; None ; -6.678 ns ; SRAM_DQ[6] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[6] ; CLOCK_50 ; ; N/A ; None ; -6.700 ns ; KEY[1] ; system_0:u0|KEY:the_KEY|readdata[1] ; CLOCK_50 ; ; N/A ; None ; -6.700 ns ; KEY[1] ; system_0:u0|KEY:the_KEY|d1_data_in[1] ; CLOCK_50 ; ; N/A ; None ; -6.809 ns ; SRAM_DQ[11] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[11] ; CLOCK_50 ; ; N/A ; None ; -6.815 ns ; SRAM_DQ[3] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[3] ; CLOCK_50 ; ; N/A ; None ; -6.838 ns ; SRAM_DQ[5] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[5] ; CLOCK_50 ; ; N/A ; None ; -6.847 ns ; SRAM_DQ[15] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[15] ; CLOCK_50 ; ; N/A ; None ; -6.853 ns ; KEY[0] ; system_0:u0|KEY:the_KEY|d1_data_in[0] ; CLOCK_50 ; ; N/A ; None ; -6.870 ns ; SRAM_DQ[5] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[5] ; CLOCK_50 ; ; N/A ; None ; -6.888 ns ; SRAM_DQ[12] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[12] ; CLOCK_50 ; ; N/A ; None ; -6.888 ns ; KEY[3] ; system_0:u0|KEY:the_KEY|d1_data_in[3] ; CLOCK_50 ; ; N/A ; None ; -6.893 ns ; KEY[3] ; system_0:u0|KEY:the_KEY|readdata[3] ; CLOCK_50 ; ; N/A ; None ; -6.943 ns ; KEY[2] ; system_0:u0|KEY:the_KEY|d1_data_in[2] ; CLOCK_50 ; ; N/A ; None ; -6.947 ns ; KEY[2] ; system_0:u0|KEY:the_KEY|readdata[2] ; CLOCK_50 ; ; N/A ; None ; -6.954 ns ; SRAM_DQ[4] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[4] ; CLOCK_50 ; ; N/A ; None ; -6.967 ns ; SRAM_DQ[1] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[1] ; CLOCK_50 ; ; N/A ; None ; -6.970 ns ; SRAM_DQ[1] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[1] ; CLOCK_50 ; ; N/A ; None ; -7.077 ns ; SRAM_DQ[2] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[2] ; CLOCK_50 ; ; N/A ; None ; -7.084 ns ; SRAM_DQ[11] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[11] ; CLOCK_50 ; ; N/A ; None ; -7.103 ns ; SRAM_DQ[12] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[12] ; CLOCK_50 ; ; N/A ; None ; -7.185 ns ; SRAM_DQ[15] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[15] ; CLOCK_50 ; ; N/A ; None ; -7.189 ns ; SRAM_DQ[13] ; system_0:u0|cpu_0_instruction_master_arbitrator:the_cpu_0_instruction_master|dbs_16_reg_segment_0[13] ; CLOCK_50 ; ; N/A ; None ; -7.238 ns ; KEY[0] ; system_0:u0|KEY:the_KEY|readdata[0] ; CLOCK_50 ; ; N/A ; None ; -7.315 ns ; SRAM_DQ[0] ; system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|dbs_16_reg_segment_0[0] ; CLOCK_50 ; ; N/A ; None ; -7.368 ns ; UART_RXD ; system_0:u0|uart_0:the_uart_0|uart_0_rx:the_uart_0_rx|d1_source_rxd ; CLOCK_50 ; ; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; +-----------------------------------------+-----------------------------------------------------+-----------+----------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Ignored Timing Assignments ; +-----------+------------+------+-----------------------------------------------------------------------------------------------+-------------+--------------------------------------------+ ; Option ; Setting ; From ; To ; Entity Name ; Help ; +-----------+------------+------+-----------------------------------------------------------------------------------------------+-------------+--------------------------------------------+ ; MAX_DELAY ; 100.000 ns ; ; system_0:u0|system_0_reset_clk_domain_synch_module:system_0_reset_clk_domain_synch|data_in_d1 ; ; Assignment is illegal for node and/or path ; +-----------+------------+------+-----------------------------------------------------------------------------------------------+-------------+--------------------------------------------+ +--------------------------+ ; Timing Analyzer Messages ; +--------------------------+ Info: ******************************************************************* Info: Running Quartus II Timing Analyzer Info: Version 6.0 Build 202 06/20/2006 Service Pack 1 SJ Full Version Info: Processing started: Mon Sep 11 03:28:32 2006 Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off DE1_NIOS -c DE1_NIOS --timing_analysis_only Warning: Timing Analysis is analyzing one or more combinational loops as latches Warning: Node "system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|st_updateir~7" is a latch Warning: Node "system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|st_updatedr~8" is a latch Warning: Clock latency analysis for PLL offsets is supported for the current device family, but is not enabled Warning: Found pins functioning as undefined clocks and/or memory enables Info: Assuming node "altera_internal_jtag~UPDATEUSER" is an undefined clock Info: Assuming node "altera_internal_jtag~TCKUTAP" is an undefined clock Info: Found timing assignments -- calculating delays Info: No valid register-to-register data paths exist for clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk0" Info: Slack time is 911 ps for clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" between source register "system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7]" and destination register "system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4]" Info: Fmax is 110.02 MHz (period= 9.089 ns) Info: + Largest register to register requirement is 9.766 ns Info: + Setup relationship between source and destination is 10.000 ns Info: + Latch edge is 7.581 ns Info: Clock period of Destination clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" is 10.000 ns with offset of -2.419 ns and duty cycle of 50 Info: Multicycle Setup factor for Destination register is 1 Info: - Launch edge is -2.419 ns Info: Clock period of Source clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" is 10.000 ns with offset of -2.419 ns and duty cycle of 50 Info: Multicycle Setup factor for Source register is 1 Info: + Largest clock skew is 0.005 ns Info: + Shortest clock path from clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" to destination register is 2.515 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 1; CLK Node = 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1' Info: 2: + IC(0.929 ns) + CELL(0.000 ns) = 0.929 ns; Loc. = CLKCTRL_G3; Fanout = 3374; COMB Node = 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1~clkctrl' Info: 3: + IC(0.984 ns) + CELL(0.602 ns) = 2.515 ns; Loc. = LCFF_X15_Y11_N23; Fanout = 1; REG Node = 'system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4]' Info: Total cell delay = 0.602 ns ( 23.94 % ) Info: Total interconnect delay = 1.913 ns ( 76.06 % ) Info: - Longest clock path from clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" to source register is 2.510 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 1; CLK Node = 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1' Info: 2: + IC(0.929 ns) + CELL(0.000 ns) = 0.929 ns; Loc. = CLKCTRL_G3; Fanout = 3374; COMB Node = 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1~clkctrl' Info: 3: + IC(0.979 ns) + CELL(0.602 ns) = 2.510 ns; Loc. = LCFF_X22_Y6_N31; Fanout = 7; REG Node = 'system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7]' Info: Total cell delay = 0.602 ns ( 23.98 % ) Info: Total interconnect delay = 1.908 ns ( 76.02 % ) Info: - Micro clock to output delay of source is 0.277 ns Info: - Micro setup delay of destination is -0.038 ns Info: - Longest register to register delay is 8.855 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LCFF_X22_Y6_N31; Fanout = 7; REG Node = 'system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[7]' Info: 2: + IC(0.382 ns) + CELL(0.516 ns) = 0.898 ns; Loc. = LCCOMB_X22_Y6_N0; Fanout = 1; COMB Node = 'system_0:u0|epcs_controller_epcs_control_port_arbitrator:the_epcs_controller_epcs_control_port|cpu_0_instruction_master_requests_epcs_controller_epcs_control_port~66' Info: 3: + IC(1.187 ns) + CELL(0.505 ns) = 2.590 ns; Loc. = LCCOMB_X19_Y9_N28; Fanout = 4; COMB Node = 'system_0:u0|epcs_controller_epcs_control_port_arbitrator:the_epcs_controller_epcs_control_port|cpu_0_instruction_master_requests_epcs_controller_epcs_control_port~68' Info: 4: + IC(1.141 ns) + CELL(0.322 ns) = 4.053 ns; Loc. = LCCOMB_X13_Y9_N12; Fanout = 38; COMB Node = 'system_0:u0|epcs_controller_epcs_control_port_arbitrator:the_epcs_controller_epcs_control_port|cpu_0_instruction_master_qualified_request_epcs_controller_epcs_control_port' Info: 5: + IC(0.316 ns) + CELL(0.178 ns) = 4.547 ns; Loc. = LCCOMB_X13_Y9_N18; Fanout = 18; COMB Node = 'system_0:u0|epcs_controller_epcs_control_port_arbitrator:the_epcs_controller_epcs_control_port|epcs_controller_epcs_control_port_grant_vector[1]~30' Info: 6: + IC(0.362 ns) + CELL(0.178 ns) = 5.087 ns; Loc. = LCCOMB_X13_Y9_N30; Fanout = 15; COMB Node = 'system_0:u0|epcs_controller_epcs_control_port_arbitrator:the_epcs_controller_epcs_control_port|epcs_controller_epcs_control_port_address[2]~94' Info: 7: + IC(0.358 ns) + CELL(0.427 ns) = 5.872 ns; Loc. = LCCOMB_X13_Y9_N14; Fanout = 8; COMB Node = 'system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|control_wr_strobe' Info: 8: + IC(0.923 ns) + CELL(0.178 ns) = 6.973 ns; Loc. = LCCOMB_X14_Y11_N8; Fanout = 16; COMB Node = 'system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|always6~2' Info: 9: + IC(1.124 ns) + CELL(0.758 ns) = 8.855 ns; Loc. = LCFF_X15_Y11_N23; Fanout = 1; REG Node = 'system_0:u0|epcs_controller:the_epcs_controller|epcs_controller_sub:the_epcs_controller_sub|epcs_slave_select_reg[4]' Info: Total cell delay = 3.062 ns ( 34.58 % ) Info: Total interconnect delay = 5.793 ns ( 65.42 % ) Info: Slack time is 15.201 ns for clock "CLOCK_50" between source register "Reset_Delay:delay1|Cont[15]" and destination register "Reset_Delay:delay1|Cont[12]" Info: Fmax is 208.38 MHz (period= 4.799 ns) Info: + Largest register to register requirement is 19.761 ns Info: + Setup relationship between source and destination is 20.000 ns Info: + Latch edge is 20.000 ns Info: Clock period of Destination clock "CLOCK_50" is 20.000 ns with offset of 0.000 ns and duty cycle of 50 Info: Multicycle Setup factor for Destination register is 1 Info: - Launch edge is 0.000 ns Info: Clock period of Source clock "CLOCK_50" is 20.000 ns with offset of 0.000 ns and duty cycle of 50 Info: Multicycle Setup factor for Source register is 1 Info: + Largest clock skew is 0.000 ns Info: + Shortest clock path from clock "CLOCK_50" to destination register is 2.862 ns Info: 1: + IC(0.000 ns) + CELL(1.026 ns) = 1.026 ns; Loc. = PIN_L1; Fanout = 3; CLK Node = 'CLOCK_50' Info: 2: + IC(0.238 ns) + CELL(0.000 ns) = 1.264 ns; Loc. = CLKCTRL_G2; Fanout = 25; COMB Node = 'CLOCK_50~clkctrl' Info: 3: + IC(0.996 ns) + CELL(0.602 ns) = 2.862 ns; Loc. = LCFF_X25_Y2_N1; Fanout = 3; REG Node = 'Reset_Delay:delay1|Cont[12]' Info: Total cell delay = 1.628 ns ( 56.88 % ) Info: Total interconnect delay = 1.234 ns ( 43.12 % ) Info: - Longest clock path from clock "CLOCK_50" to source register is 2.862 ns Info: 1: + IC(0.000 ns) + CELL(1.026 ns) = 1.026 ns; Loc. = PIN_L1; Fanout = 3; CLK Node = 'CLOCK_50' Info: 2: + IC(0.238 ns) + CELL(0.000 ns) = 1.264 ns; Loc. = CLKCTRL_G2; Fanout = 25; COMB Node = 'CLOCK_50~clkctrl' Info: 3: + IC(0.996 ns) + CELL(0.602 ns) = 2.862 ns; Loc. = LCFF_X25_Y2_N7; Fanout = 3; REG Node = 'Reset_Delay:delay1|Cont[15]' Info: Total cell delay = 1.628 ns ( 56.88 % ) Info: Total interconnect delay = 1.234 ns ( 43.12 % ) Info: - Micro clock to output delay of source is 0.277 ns Info: - Micro setup delay of destination is -0.038 ns Info: - Longest register to register delay is 4.560 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LCFF_X25_Y2_N7; Fanout = 3; REG Node = 'Reset_Delay:delay1|Cont[15]' Info: 2: + IC(0.398 ns) + CELL(0.512 ns) = 0.910 ns; Loc. = LCCOMB_X25_Y2_N28; Fanout = 1; COMB Node = 'Reset_Delay:delay1|Equal0~243' Info: 3: + IC(0.871 ns) + CELL(0.322 ns) = 2.103 ns; Loc. = LCCOMB_X25_Y3_N8; Fanout = 2; COMB Node = 'Reset_Delay:delay1|Equal0~244' Info: 4: + IC(0.549 ns) + CELL(0.322 ns) = 2.974 ns; Loc. = LCCOMB_X24_Y3_N30; Fanout = 24; COMB Node = 'Reset_Delay:delay1|Equal0~247' Info: 5: + IC(0.828 ns) + CELL(0.758 ns) = 4.560 ns; Loc. = LCFF_X25_Y2_N1; Fanout = 3; REG Node = 'Reset_Delay:delay1|Cont[12]' Info: Total cell delay = 1.914 ns ( 41.97 % ) Info: Total interconnect delay = 2.646 ns ( 58.03 % ) Info: Clock "altera_internal_jtag~TCKUTAP" has Internal fmax of 107.87 MHz between source register "sld_hub:sld_hub_inst|jtag_debug_mode_usr1" and destination register "system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14]" (period= 9.27 ns) Info: + Longest register to register delay is 9.028 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LCFF_X10_Y16_N29; Fanout = 15; REG Node = 'sld_hub:sld_hub_inst|jtag_debug_mode_usr1' Info: 2: + IC(1.512 ns) + CELL(0.322 ns) = 1.834 ns; Loc. = LCCOMB_X13_Y15_N16; Fanout = 47; COMB Node = 'system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[35]~4756' Info: 3: + IC(1.231 ns) + CELL(0.521 ns) = 3.586 ns; Loc. = LCCOMB_X20_Y14_N10; Fanout = 34; COMB Node = 'system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|always2~2' Info: 4: + IC(1.215 ns) + CELL(0.177 ns) = 4.978 ns; Loc. = LCCOMB_X15_Y14_N4; Fanout = 23; COMB Node = 'system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[12]~4764' Info: 5: + IC(1.257 ns) + CELL(0.521 ns) = 6.756 ns; Loc. = LCCOMB_X18_Y13_N12; Fanout = 1; COMB Node = 'system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr~4836' Info: 6: + IC(1.178 ns) + CELL(0.178 ns) = 8.112 ns; Loc. = LCCOMB_X16_Y14_N14; Fanout = 1; COMB Node = 'system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr~4837' Info: 7: + IC(0.299 ns) + CELL(0.521 ns) = 8.932 ns; Loc. = LCCOMB_X16_Y14_N22; Fanout = 1; COMB Node = 'system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr~4838' Info: 8: + IC(0.000 ns) + CELL(0.096 ns) = 9.028 ns; Loc. = LCFF_X16_Y14_N23; Fanout = 2; REG Node = 'system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14]' Info: Total cell delay = 2.336 ns ( 25.88 % ) Info: Total interconnect delay = 6.692 ns ( 74.12 % ) Info: - Smallest clock skew is -0.003 ns Info: + Shortest clock path from clock "altera_internal_jtag~TCKUTAP" to destination register is 4.901 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = JTAG_X1_Y14_N0; Fanout = 1; CLK Node = 'altera_internal_jtag~TCKUTAP' Info: 2: + IC(3.310 ns) + CELL(0.000 ns) = 3.310 ns; Loc. = CLKCTRL_G1; Fanout = 153; COMB Node = 'altera_internal_jtag~TCKUTAPclkctrl' Info: 3: + IC(0.989 ns) + CELL(0.602 ns) = 4.901 ns; Loc. = LCFF_X16_Y14_N23; Fanout = 2; REG Node = 'system_0:u0|cpu_0:the_cpu_0|cpu_0_nios2_oci:the_cpu_0_nios2_oci|cpu_0_jtag_debug_module_wrapper:the_cpu_0_jtag_debug_module_wrapper|cpu_0_jtag_debug_module:the_cpu_0_jtag_debug_module1|sr[14]' Info: Total cell delay = 0.602 ns ( 12.28 % ) Info: Total interconnect delay = 4.299 ns ( 87.72 % ) Info: - Longest clock path from clock "altera_internal_jtag~TCKUTAP" to source register is 4.904 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = JTAG_X1_Y14_N0; Fanout = 1; CLK Node = 'altera_internal_jtag~TCKUTAP' Info: 2: + IC(3.310 ns) + CELL(0.000 ns) = 3.310 ns; Loc. = CLKCTRL_G1; Fanout = 153; COMB Node = 'altera_internal_jtag~TCKUTAPclkctrl' Info: 3: + IC(0.992 ns) + CELL(0.602 ns) = 4.904 ns; Loc. = LCFF_X10_Y16_N29; Fanout = 15; REG Node = 'sld_hub:sld_hub_inst|jtag_debug_mode_usr1' Info: Total cell delay = 0.602 ns ( 12.28 % ) Info: Total interconnect delay = 4.302 ns ( 87.72 % ) Info: + Micro clock to output delay of source is 0.277 ns Info: + Micro setup delay of destination is -0.038 ns Info: Minimum slack time is 445 ps for clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" between source register "system_0:u0|sdram_0:the_sdram_0|i_cmd[3]" and destination register "system_0:u0|sdram_0:the_sdram_0|i_cmd[3]" Info: + Shortest register to register delay is 0.454 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LCFF_X1_Y4_N9; Fanout = 2; REG Node = 'system_0:u0|sdram_0:the_sdram_0|i_cmd[3]' Info: 2: + IC(0.000 ns) + CELL(0.358 ns) = 0.358 ns; Loc. = LCCOMB_X1_Y4_N8; Fanout = 1; COMB Node = 'system_0:u0|sdram_0:the_sdram_0|Selector0~8' Info: 3: + IC(0.000 ns) + CELL(0.096 ns) = 0.454 ns; Loc. = LCFF_X1_Y4_N9; Fanout = 2; REG Node = 'system_0:u0|sdram_0:the_sdram_0|i_cmd[3]' Info: Total cell delay = 0.454 ns ( 100.00 % ) Info: - Smallest register to register requirement is 0.009 ns Info: + Hold relationship between source and destination is 0.000 ns Info: + Latch edge is -2.419 ns Info: Clock period of Destination clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" is 10.000 ns with offset of -2.419 ns and duty cycle of 50 Info: Multicycle Setup factor for Destination register is 1 Info: Multicycle Hold factor for Destination register is 1 Info: - Launch edge is -2.419 ns Info: Clock period of Source clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" is 10.000 ns with offset of -2.419 ns and duty cycle of 50 Info: Multicycle Setup factor for Source register is 1 Info: Multicycle Hold factor for Source register is 1 Info: + Smallest clock skew is 0.000 ns Info: + Longest clock path from clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" to destination register is 2.520 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 1; CLK Node = 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1' Info: 2: + IC(0.929 ns) + CELL(0.000 ns) = 0.929 ns; Loc. = CLKCTRL_G3; Fanout = 3374; COMB Node = 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1~clkctrl' Info: 3: + IC(0.989 ns) + CELL(0.602 ns) = 2.520 ns; Loc. = LCFF_X1_Y4_N9; Fanout = 2; REG Node = 'system_0:u0|sdram_0:the_sdram_0|i_cmd[3]' Info: Total cell delay = 0.602 ns ( 23.89 % ) Info: Total interconnect delay = 1.918 ns ( 76.11 % ) Info: - Shortest clock path from clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" to source register is 2.520 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 1; CLK Node = 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1' Info: 2: + IC(0.929 ns) + CELL(0.000 ns) = 0.929 ns; Loc. = CLKCTRL_G3; Fanout = 3374; COMB Node = 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1~clkctrl' Info: 3: + IC(0.989 ns) + CELL(0.602 ns) = 2.520 ns; Loc. = LCFF_X1_Y4_N9; Fanout = 2; REG Node = 'system_0:u0|sdram_0:the_sdram_0|i_cmd[3]' Info: Total cell delay = 0.602 ns ( 23.89 % ) Info: Total interconnect delay = 1.918 ns ( 76.11 % ) Info: - Micro clock to output delay of source is 0.277 ns Info: + Micro hold delay of destination is 0.286 ns Info: Minimum slack time is 445 ps for clock "CLOCK_50" between source register "Reset_Delay:delay1|Cont[0]" and destination register "Reset_Delay:delay1|Cont[0]" Info: + Shortest register to register delay is 0.454 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LCFF_X24_Y3_N19; Fanout = 4; REG Node = 'Reset_Delay:delay1|Cont[0]' Info: 2: + IC(0.000 ns) + CELL(0.358 ns) = 0.358 ns; Loc. = LCCOMB_X24_Y3_N18; Fanout = 1; COMB Node = 'Reset_Delay:delay1|Cont[0]~997' Info: 3: + IC(0.000 ns) + CELL(0.096 ns) = 0.454 ns; Loc. = LCFF_X24_Y3_N19; Fanout = 4; REG Node = 'Reset_Delay:delay1|Cont[0]' Info: Total cell delay = 0.454 ns ( 100.00 % ) Info: - Smallest register to register requirement is 0.009 ns Info: + Hold relationship between source and destination is 0.000 ns Info: + Latch edge is 0.000 ns Info: Clock period of Destination clock "CLOCK_50" is 20.000 ns with offset of 0.000 ns and duty cycle of 50 Info: Multicycle Setup factor for Destination register is 1 Info: Multicycle Hold factor for Destination register is 1 Info: - Launch edge is 0.000 ns Info: Clock period of Source clock "CLOCK_50" is 20.000 ns with offset of 0.000 ns and duty cycle of 50 Info: Multicycle Setup factor for Source register is 1 Info: Multicycle Hold factor for Source register is 1 Info: + Smallest clock skew is 0.000 ns Info: + Longest clock path from clock "CLOCK_50" to destination register is 2.860 ns Info: 1: + IC(0.000 ns) + CELL(1.026 ns) = 1.026 ns; Loc. = PIN_L1; Fanout = 3; CLK Node = 'CLOCK_50' Info: 2: + IC(0.238 ns) + CELL(0.000 ns) = 1.264 ns; Loc. = CLKCTRL_G2; Fanout = 25; COMB Node = 'CLOCK_50~clkctrl' Info: 3: + IC(0.994 ns) + CELL(0.602 ns) = 2.860 ns; Loc. = LCFF_X24_Y3_N19; Fanout = 4; REG Node = 'Reset_Delay:delay1|Cont[0]' Info: Total cell delay = 1.628 ns ( 56.92 % ) Info: Total interconnect delay = 1.232 ns ( 43.08 % ) Info: - Shortest clock path from clock "CLOCK_50" to source register is 2.860 ns Info: 1: + IC(0.000 ns) + CELL(1.026 ns) = 1.026 ns; Loc. = PIN_L1; Fanout = 3; CLK Node = 'CLOCK_50' Info: 2: + IC(0.238 ns) + CELL(0.000 ns) = 1.264 ns; Loc. = CLKCTRL_G2; Fanout = 25; COMB Node = 'CLOCK_50~clkctrl' Info: 3: + IC(0.994 ns) + CELL(0.602 ns) = 2.860 ns; Loc. = LCFF_X24_Y3_N19; Fanout = 4; REG Node = 'Reset_Delay:delay1|Cont[0]' Info: Total cell delay = 1.628 ns ( 56.92 % ) Info: Total interconnect delay = 1.232 ns ( 43.08 % ) Info: - Micro clock to output delay of source is 0.277 ns Info: + Micro hold delay of destination is 0.286 ns Info: tsu for register "system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[19]" (data pin = "SRAM_DQ[3]", clock pin = "CLOCK_50") is 11.751 ns Info: + Longest pin to register delay is 11.878 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PIN_AB7; Fanout = 1; PIN Node = 'SRAM_DQ[3]' Info: 2: + IC(0.000 ns) + CELL(0.873 ns) = 0.873 ns; Loc. = IOC_X11_Y0_N3; Fanout = 4; COMB Node = 'SRAM_DQ[3]~12' Info: 3: + IC(7.406 ns) + CELL(0.544 ns) = 8.823 ns; Loc. = LCCOMB_X19_Y7_N30; Fanout = 1; COMB Node = 'system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_readdata[19]~2728' Info: 4: + IC(0.285 ns) + CELL(0.178 ns) = 9.286 ns; Loc. = LCCOMB_X19_Y7_N6; Fanout = 1; COMB Node = 'system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_readdata[19]~2729' Info: 5: + IC(0.871 ns) + CELL(0.178 ns) = 10.335 ns; Loc. = LCCOMB_X18_Y8_N28; Fanout = 1; COMB Node = 'system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_readdata[19]~2730' Info: 6: + IC(0.305 ns) + CELL(0.521 ns) = 11.161 ns; Loc. = LCCOMB_X18_Y8_N4; Fanout = 1; COMB Node = 'system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_readdata[19]~2731' Info: 7: + IC(0.299 ns) + CELL(0.322 ns) = 11.782 ns; Loc. = LCCOMB_X18_Y8_N22; Fanout = 1; COMB Node = 'system_0:u0|cpu_0_data_master_arbitrator:the_cpu_0_data_master|cpu_0_data_master_readdata[19]' Info: 8: + IC(0.000 ns) + CELL(0.096 ns) = 11.878 ns; Loc. = LCFF_X18_Y8_N23; Fanout = 3; REG Node = 'system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[19]' Info: Total cell delay = 2.712 ns ( 22.83 % ) Info: Total interconnect delay = 9.166 ns ( 77.17 % ) Info: + Micro setup delay of destination is -0.038 ns Info: - Offset between input clock "CLOCK_50" and output clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" is -2.419 ns Info: - Shortest clock path from clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" to destination register is 2.508 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 1; CLK Node = 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1' Info: 2: + IC(0.929 ns) + CELL(0.000 ns) = 0.929 ns; Loc. = CLKCTRL_G3; Fanout = 3374; COMB Node = 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1~clkctrl' Info: 3: + IC(0.977 ns) + CELL(0.602 ns) = 2.508 ns; Loc. = LCFF_X18_Y8_N23; Fanout = 3; REG Node = 'system_0:u0|cpu_0:the_cpu_0|d_readdata_d1[19]' Info: Total cell delay = 0.602 ns ( 24.00 % ) Info: Total interconnect delay = 1.906 ns ( 76.00 % ) Info: tco from clock "CLOCK_50" to destination pin "SRAM_ADDR[14]" through register "system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10]" is 11.994 ns Info: + Offset between input clock "CLOCK_50" and output clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" is -2.419 ns Info: + Longest clock path from clock "SDRAM_PLL:PLL1|altpll:altpll_component|_clk1" to source register is 2.510 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 1; CLK Node = 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1' Info: 2: + IC(0.929 ns) + CELL(0.000 ns) = 0.929 ns; Loc. = CLKCTRL_G3; Fanout = 3374; COMB Node = 'SDRAM_PLL:PLL1|altpll:altpll_component|_clk1~clkctrl' Info: 3: + IC(0.979 ns) + CELL(0.602 ns) = 2.510 ns; Loc. = LCFF_X20_Y6_N11; Fanout = 7; REG Node = 'system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10]' Info: Total cell delay = 0.602 ns ( 23.98 % ) Info: Total interconnect delay = 1.908 ns ( 76.02 % ) Info: + Micro clock to output delay of source is 0.277 ns Info: + Longest register to pin delay is 11.626 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LCFF_X20_Y6_N11; Fanout = 7; REG Node = 'system_0:u0|cpu_0:the_cpu_0|ic_fill_tag[10]' Info: 2: + IC(0.383 ns) + CELL(0.507 ns) = 0.890 ns; Loc. = LCCOMB_X20_Y6_N14; Fanout = 4; COMB Node = 'system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|cpu_0_instruction_master_requests_sram_0_avalonS~55' Info: 3: + IC(0.914 ns) + CELL(0.541 ns) = 2.345 ns; Loc. = LCCOMB_X22_Y6_N30; Fanout = 2; COMB Node = 'system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_firsttransfer~98' Info: 4: + IC(0.837 ns) + CELL(0.545 ns) = 3.727 ns; Loc. = LCCOMB_X22_Y6_N6; Fanout = 10; COMB Node = 'system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|cpu_0_data_master_qualified_request_sram_0_avalonS~123' Info: 5: + IC(0.326 ns) + CELL(0.178 ns) = 4.231 ns; Loc. = LCCOMB_X22_Y6_N16; Fanout = 27; COMB Node = 'system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_grant_vector[1]~38' Info: 6: + IC(2.058 ns) + CELL(0.322 ns) = 6.611 ns; Loc. = LCCOMB_X24_Y5_N24; Fanout = 1; COMB Node = 'system_0:u0|sram_0_avalonS_arbitrator:the_sram_0_avalonS|sram_0_avalonS_address[14]~194' Info: 7: + IC(2.009 ns) + CELL(3.006 ns) = 11.626 ns; Loc. = PIN_R10; Fanout = 0; PIN Node = 'SRAM_ADDR[14]' Info: Total cell delay = 5.099 ns ( 43.86 % ) Info: Total interconnect delay = 6.527 ns ( 56.14 % ) Info: Longest tpd from source pin "altera_internal_jtag~TDO" to destination pin "altera_reserved_tdo" is 2.810 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = JTAG_X1_Y14_N0; Fanout = 1; PIN Node = 'altera_internal_jtag~TDO' Info: 2: + IC(0.000 ns) + CELL(2.810 ns) = 2.810 ns; Loc. = PIN_L5; Fanout = 0; PIN Node = 'altera_reserved_tdo' Info: Total cell delay = 2.810 ns ( 100.00 % ) Info: th for register "sld_hub:sld_hub_inst|lpm_shiftreg:jtag_ir_register|dffs[9]" (data pin = "altera_internal_jtag", clock pin = "altera_internal_jtag~TCKUTAP") is 3.496 ns Info: + Longest clock path from clock "altera_internal_jtag~TCKUTAP" to destination register is 4.904 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = JTAG_X1_Y14_N0; Fanout = 1; CLK Node = 'altera_internal_jtag~TCKUTAP' Info: 2: + IC(3.310 ns) + CELL(0.000 ns) = 3.310 ns; Loc. = CLKCTRL_G1; Fanout = 153; COMB Node = 'altera_internal_jtag~TCKUTAPclkctrl' Info: 3: + IC(0.992 ns) + CELL(0.602 ns) = 4.904 ns; Loc. = LCFF_X10_Y16_N19; Fanout = 2; REG Node = 'sld_hub:sld_hub_inst|lpm_shiftreg:jtag_ir_register|dffs[9]' Info: Total cell delay = 0.602 ns ( 12.28 % ) Info: Total interconnect delay = 4.302 ns ( 87.72 % ) Info: + Micro hold delay of destination is 0.286 ns Info: - Shortest pin to register delay is 1.694 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = JTAG_X1_Y14_N0; Fanout = 17; PIN Node = 'altera_internal_jtag' Info: 2: + IC(1.420 ns) + CELL(0.178 ns) = 1.598 ns; Loc. = LCCOMB_X10_Y16_N18; Fanout = 1; COMB Node = 'sld_hub:sld_hub_inst|lpm_shiftreg:jtag_ir_register|dffs[9]~feeder' Info: 3: + IC(0.000 ns) + CELL(0.096 ns) = 1.694 ns; Loc. = LCFF_X10_Y16_N19; Fanout = 2; REG Node = 'sld_hub:sld_hub_inst|lpm_shiftreg:jtag_ir_register|dffs[9]' Info: Total cell delay = 0.274 ns ( 16.17 % ) Info: Total interconnect delay = 1.420 ns ( 83.83 % ) Info: All timing requirements were met. See Report window for more details. Warning: Found invalid timing assignments -- see Ignored Timing Assignments report for details Info: Quartus II Timing Analyzer was successful. 0 errors, 6 warnings Info: Processing ended: Mon Sep 11 03:28:39 2006 Info: Elapsed time: 00:00:08