/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 1991-2005 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ (header "graphic" (version "1.3")) (pin (input) (rect 136 96 304 112) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "50MHZ" (rect 5 0 40 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 32 72 120 104)) ) (pin (input) (rect 160 296 328 312) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "KEY0" (rect 5 0 33 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 64 312 160 344)) ) (pin (input) (rect 1048 368 1216 384) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "AUD_ADCDAT" (rect 5 0 79 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 920 368 1008 400)) ) (pin (input) (rect 1048 400 1216 416) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "AUD_BCLK" (rect 5 0 62 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 960 416 1048 448)) ) (pin (input) (rect 1048 432 1216 448) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "AUD_DACLRCK" (rect 5 0 87 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 960 448 1048 480)) ) (pin (input) (rect 1048 448 1216 464) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "AUD_ADCLRCK" (rect 5 0 87 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 960 464 1048 496)) ) (pin (output) (rect 1024 112 1200 128) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "I2C_SCLK" (rect 90 0 141 12)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)(line_width 1)) (line (pt 52 4)(pt 78 4)(line_width 1)) (line (pt 52 12)(pt 78 12)(line_width 1)) (line (pt 52 12)(pt 52 4)(line_width 1)) (line (pt 78 4)(pt 82 8)(line_width 1)) (line (pt 82 8)(pt 78 12)(line_width 1)) (line (pt 78 12)(pt 82 8)(line_width 1)) ) (annotation_block (location)(rect 1200 128 1288 160)) ) (pin (output) (rect 1032 296 1208 312) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "AUD_XCK" (rect 90 0 140 12)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)(line_width 1)) (line (pt 52 4)(pt 78 4)(line_width 1)) (line (pt 52 12)(pt 78 12)(line_width 1)) (line (pt 52 12)(pt 52 4)(line_width 1)) (line (pt 78 4)(pt 82 8)(line_width 1)) (line (pt 82 8)(pt 78 12)(line_width 1)) (line (pt 78 12)(pt 82 8)(line_width 1)) ) (annotation_block (location)(rect 1208 256 1296 288)) ) (pin (output) (rect 1032 280 1208 296) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "AUD_DACDAT" (rect 90 0 164 12)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)(line_width 1)) (line (pt 52 4)(pt 78 4)(line_width 1)) (line (pt 52 12)(pt 78 12)(line_width 1)) (line (pt 52 12)(pt 52 4)(line_width 1)) (line (pt 78 4)(pt 82 8)(line_width 1)) (line (pt 82 8)(pt 78 12)(line_width 1)) (line (pt 78 12)(pt 82 8)(line_width 1)) ) (annotation_block (location)(rect 1232 280 1320 312)) ) (pin (output) (rect 616 312 792 328) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "KEYON" (rect 90 0 128 12)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)(line_width 1)) (line (pt 52 4)(pt 78 4)(line_width 1)) (line (pt 52 12)(pt 78 12)(line_width 1)) (line (pt 52 12)(pt 52 4)(line_width 1)) (line (pt 78 4)(pt 82 8)(line_width 1)) (line (pt 82 8)(pt 78 12)(line_width 1)) (line (pt 78 12)(pt 82 8)(line_width 1)) ) ) (pin (bidir) (rect 1024 96 1200 112) (text "BIDIR" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "I2C_SDAT" (rect 90 0 141 12)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)(line_width 1)) (line (pt 0 8)(pt 52 8)(line_width 1)) (line (pt 56 12)(pt 78 12)(line_width 1)) (line (pt 78 4)(pt 82 8)(line_width 1)) (line (pt 78 12)(pt 82 8)(line_width 1)) (line (pt 56 4)(pt 52 8)(line_width 1)) (line (pt 52 8)(pt 56 12)(line_width 1)) ) (text "VCC" (rect 4 7 24 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 1200 112 1288 144)) ) (symbol (rect 696 72 936 232) (text "i2c" (rect 5 0 19 12)(font "Arial" )) (text "inst" (rect 8 144 25 156)(font "Arial" )) (port (pt 0 32) (input) (text "CLOCK" (rect 0 0 37 12)(font "Arial" )) (text "CLOCK" (rect 21 27 58 39)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 1)) ) (port (pt 0 48) (input) (text "I2C_DATA[23..0]" (rect 0 0 83 12)(font "Arial" )) (text "I2C_DATA[23..0]" (rect 21 43 104 55)(font "Arial" )) (line (pt 0 48)(pt 16 48)(line_width 3)) ) (port (pt 0 64) (input) (text "GO" (rect 0 0 16 12)(font "Arial" )) (text "GO" (rect 21 59 37 71)(font "Arial" )) (line (pt 0 64)(pt 16 64)(line_width 1)) ) (port (pt 0 80) (input) (text "RESET" (rect 0 0 35 12)(font "Arial" )) (text "RESET" (rect 21 75 56 87)(font "Arial" )) (line (pt 0 80)(pt 16 80)(line_width 1)) ) (port (pt 0 96) (input) (text "W_R" (rect 0 0 24 12)(font "Arial" )) (text "W_R" (rect 21 91 45 103)(font "Arial" )) (line (pt 0 96)(pt 16 96)(line_width 1)) ) (port (pt 240 48) (output) (text "I2C_SCLK" (rect 0 0 51 12)(font "Arial" )) (text "I2C_SCLK" (rect 168 43 219 55)(font "Arial" )) (line (pt 240 48)(pt 224 48)(line_width 1)) ) (port (pt 240 64) (output) (text "END" (rect 0 0 23 12)(font "Arial" )) (text "END" (rect 196 59 219 71)(font "Arial" )) (line (pt 240 64)(pt 224 64)(line_width 1)) ) (port (pt 240 80) (output) (text "ACK" (rect 0 0 22 12)(font "Arial" )) (text "ACK" (rect 197 75 219 87)(font "Arial" )) (line (pt 240 80)(pt 224 80)(line_width 1)) ) (port (pt 240 96) (output) (text "SD_COUNTER[5..0]" (rect 0 0 101 12)(font "Arial" )) (text "SD_COUNTER[5..0]" (rect 118 91 219 103)(font "Arial" )) (line (pt 240 96)(pt 224 96)(line_width 3)) ) (port (pt 240 112) (output) (text "SDO" (rect 0 0 23 12)(font "Arial" )) (text "SDO" (rect 196 107 219 119)(font "Arial" )) (line (pt 240 112)(pt 224 112)(line_width 1)) ) (port (pt 240 32) (bidir) (text "I2C_SDAT" (rect 0 0 51 12)(font "Arial" )) (text "I2C_SDAT" (rect 168 27 219 39)(font "Arial" )) (line (pt 240 32)(pt 224 32)(line_width 1)) ) (drawing (rectangle (rect 16 16 224 144)(line_width 1)) ) ) (symbol (rect 608 40 640 56) (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) (text "inst2" (rect 3 5 26 17)(font "Arial" )(invisible)) (port (pt 16 16) (output) (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) (line (pt 16 16)(pt 16 8)(line_width 1)) ) (drawing (line (pt 8 8)(pt 24 8)(line_width 1)) ) ) (symbol (rect 400 72 552 200) (text "CLOCK_500" (rect 5 0 66 12)(font "Arial" )) (text "inst4" (rect 8 112 31 124)(font "Arial" )) (port (pt 0 32) (input) (text "CLOCK" (rect 0 0 37 12)(font "Arial" )) (text "CLOCK" (rect 21 27 58 39)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 1)) ) (port (pt 0 48) (input) (text "END" (rect 0 0 23 12)(font "Arial" )) (text "END" (rect 21 43 44 55)(font "Arial" )) (line (pt 0 48)(pt 16 48)(line_width 1)) ) (port (pt 0 64) (input) (text "RESET" (rect 0 0 35 12)(font "Arial" )) (text "RESET" (rect 21 59 56 71)(font "Arial" )) (line (pt 0 64)(pt 16 64)(line_width 1)) ) (port (pt 152 32) (output) (text "CLOCK_500" (rect 0 0 61 12)(font "Arial" )) (text "CLOCK_500" (rect 70 27 131 39)(font "Arial" )) (line (pt 152 32)(pt 136 32)(line_width 1)) ) (port (pt 152 48) (output) (text "DATA[23..0]" (rect 0 0 60 12)(font "Arial" )) (text "DATA[23..0]" (rect 71 43 131 55)(font "Arial" )) (line (pt 152 48)(pt 136 48)(line_width 3)) ) (port (pt 152 64) (output) (text "GO" (rect 0 0 16 12)(font "Arial" )) (text "GO" (rect 115 59 131 71)(font "Arial" )) (line (pt 152 64)(pt 136 64)(line_width 1)) ) (port (pt 152 80) (output) (text "CLOCK_2" (rect 0 0 49 12)(font "Arial" )) (text "CLOCK_2" (rect 82 75 131 87)(font "Arial" )) (line (pt 152 80)(pt 136 80)(line_width 1)) ) (drawing (rectangle (rect 16 16 136 112)(line_width 1)) ) ) (symbol (rect 392 272 536 368) (text "keytr" (rect 5 0 30 12)(font "Arial" )) (text "inst1" (rect 8 80 31 92)(font "Arial" )) (port (pt 0 32) (input) (text "key" (rect 0 0 18 12)(font "Arial" )) (text "key" (rect 21 27 39 39)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 1)) ) (port (pt 0 48) (input) (text "clock" (rect 0 0 25 12)(font "Arial" )) (text "clock" (rect 21 43 46 55)(font "Arial" )) (line (pt 0 48)(pt 16 48)(line_width 1)) ) (port (pt 144 32) (output) (text "ON" (rect 0 0 16 12)(font "Arial" )) (text "ON" (rect 107 27 123 39)(font "Arial" )) (line (pt 144 32)(pt 128 32)(line_width 1)) ) (port (pt 144 48) (output) (text "KEYON" (rect 0 0 38 12)(font "Arial" )) (text "KEYON" (rect 85 43 123 55)(font "Arial" )) (line (pt 144 48)(pt 128 48)(line_width 1)) ) (port (pt 144 64) (output) (text "counter[9..0]" (rect 0 0 62 12)(font "Arial" )) (text "counter[9..0]" (rect 61 59 123 71)(font "Arial" )) (line (pt 144 64)(pt 128 64)(line_width 3)) ) (drawing (rectangle (rect 16 16 128 80)(line_width 1)) ) ) (connector (pt 552 136) (pt 696 136) ) (connector (pt 552 120) (pt 696 120) (bus) ) (connector (pt 696 152) (pt 624 152) ) (connector (pt 624 152) (pt 624 56) ) (connector (text "END" (rect 357 104 380 116)(font "Arial" )) (pt 400 120) (pt 344 120) ) (connector (text "END" (rect 952 120 975 132)(font "Arial" )) (pt 936 136) (pt 992 136) ) (connector (pt 936 120) (pt 1024 120) ) (connector (pt 936 104) (pt 1024 104) ) (connector (text "XCK" (rect 569 136 590 148)(font "Arial" )) (pt 552 152) (pt 600 152) ) (connector (text "XCK" (rect 976 288 997 300)(font "Arial" )) (pt 1032 304) (pt 960 304) ) (connector (text "KEYON" (rect 568 304 606 316)(font "Arial" )) (pt 536 320) (pt 616 320) ) (connector (text "KEYON" (rect 359 120 397 132)(font "Arial" )) (pt 400 136) (pt 344 136) ) (connector (text "<<__$DEF_ALIAS81>>" (rect 559 88 671 100)(font "Arial" )(invisible)) (pt 304 104) (pt 400 104) ) (connector (text "1MHZ" (rect 569 88 598 100)(font "Arial" )) (pt 696 104) (pt 552 104) ) (connector (text "<<__$DEF_ALIAS89>>" (rect 346 312 458 324)(font "Arial" )(invisible)) (pt 392 304) (pt 328 304) ) (connector (text "1MHZ" (rect 340 304 369 316)(font "Arial" )) (pt 392 320) (pt 328 320) ) (connector (text "sound" (rect 1232 360 1261 372)(font "Arial" )) (pt 1216 376) (pt 1264 376) ) (connector (text "sound" (rect 971 272 1000 284)(font "Arial" )) (pt 1032 288) (pt 960 288) )