# Copyright (C) 1991-2005 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License # Subscription Agreement, Altera MegaCore Function License # Agreement, or other applicable license agreement, including, # without limitation, that your use is for the sole purpose of # programming logic devices manufactured by Altera and sold by # Altera or its authorized distributors. Please refer to the # applicable agreement for further details. # The default values for assignments are stored in the file # DE2_NIOS_HOST_MOUSE_VGA_assignment_defaults.qdf # If this file doesn't exist, and for assignments not listed, see file # assignment_defaults.qdf # Altera recommends that you do not modify this file. This # file is updated automatically by the Quartus II software # and any changes you make may be lost or overwritten. # Project-Wide Assignments # ======================== set_global_assignment -name ORIGINAL_QUARTUS_VERSION 5.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:17:36 JUNE 27, 2005" set_global_assignment -name LAST_QUARTUS_VERSION 5.1 set_global_assignment -name VERILOG_FILE DE2_NIOS_HOST_MOUSE_VGA.v set_global_assignment -name VERILOG_FILE cpu_0.v set_global_assignment -name VERILOG_FILE cpu_0_jtag_debug_module.v set_global_assignment -name VERILOG_FILE cpu_0_jtag_debug_module_wrapper.v set_global_assignment -name VERILOG_FILE cpu_0_mult_cell.v set_global_assignment -name VERILOG_FILE cpu_0_test_bench.v set_global_assignment -name VERILOG_FILE jtag_uart_0.v set_global_assignment -name VERILOG_FILE lcd_16207_0.v set_global_assignment -name VERILOG_FILE lcd_ctrl_pio.v set_global_assignment -name VERILOG_FILE lcd_data_pio.v set_global_assignment -name VERILOG_FILE lcd_pio.v set_global_assignment -name VERILOG_FILE led_pio.v set_global_assignment -name VERILOG_FILE nios_0.v set_global_assignment -name VERILOG_FILE onchip_memory_0.v set_global_assignment -name VERILOG_FILE sdram_0.v set_global_assignment -name VERILOG_FILE sdram_0_test_component.v set_global_assignment -name VERILOG_FILE SDRAM_PLL.v set_global_assignment -name VERILOG_FILE sysid.v set_global_assignment -name VERILOG_FILE timer_0.v set_global_assignment -name VERILOG_FILE timer_1.v set_global_assignment -name VERILOG_FILE uart_0.v set_global_assignment -name VERILOG_FILE SEG7_LUT.v set_global_assignment -name VERILOG_FILE SEG7_LUT_8.v set_global_assignment -name VERILOG_FILE ISP1362_Interface.v set_global_assignment -name SIGNALTAP_FILE stp1.stp set_global_assignment -name VERILOG_FILE Reset_Delay.v set_global_assignment -name VERILOG_FILE SRAM_16Bit_512K.v set_global_assignment -name SOURCE_FILE ./ISP1362_IF.v # Pin & Location Assignments # ========================== set_location_assignment PIN_N25 -to DPDT_SW[0] set_location_assignment PIN_N26 -to DPDT_SW[1] set_location_assignment PIN_P25 -to DPDT_SW[2] set_location_assignment PIN_AE14 -to DPDT_SW[3] set_location_assignment PIN_AF14 -to DPDT_SW[4] set_location_assignment PIN_AD13 -to DPDT_SW[5] set_location_assignment PIN_AC13 -to DPDT_SW[6] set_location_assignment PIN_C13 -to DPDT_SW[7] set_location_assignment PIN_B13 -to DPDT_SW[8] set_location_assignment PIN_A13 -to DPDT_SW[9] set_location_assignment PIN_N1 -to DPDT_SW[10] set_location_assignment PIN_P1 -to DPDT_SW[11] set_location_assignment PIN_P2 -to DPDT_SW[12] set_location_assignment PIN_T7 -to DPDT_SW[13] set_location_assignment PIN_V2 -to DPDT_SW[14] set_location_assignment PIN_V1 -to DPDT_SW[15] set_location_assignment PIN_U4 -to DPDT_SW[16] set_location_assignment PIN_U3 -to DPDT_SW[17] set_location_assignment PIN_T6 -to DRAM_ADDR[0] set_location_assignment PIN_V4 -to DRAM_ADDR[1] set_location_assignment PIN_V3 -to DRAM_ADDR[2] set_location_assignment PIN_W2 -to DRAM_ADDR[3] set_location_assignment PIN_W1 -to DRAM_ADDR[4] set_location_assignment PIN_U6 -to DRAM_ADDR[5] set_location_assignment PIN_U7 -to DRAM_ADDR[6] set_location_assignment PIN_U5 -to DRAM_ADDR[7] set_location_assignment PIN_W4 -to DRAM_ADDR[8] set_location_assignment PIN_W3 -to DRAM_ADDR[9] set_location_assignment PIN_Y1 -to DRAM_ADDR[10] set_location_assignment PIN_V5 -to DRAM_ADDR[11] set_location_assignment PIN_AE2 -to DRAM_BA_0 set_location_assignment PIN_AE3 -to DRAM_BA_1 set_location_assignment PIN_AB3 -to DRAM_CAS_N set_location_assignment PIN_AA6 -to DRAM_CKE set_location_assignment PIN_AA7 -to DRAM_CLK set_location_assignment PIN_AC3 -to DRAM_CS_N set_location_assignment PIN_V6 -to DRAM_DQ[0] set_location_assignment PIN_AA2 -to DRAM_DQ[1] set_location_assignment PIN_AA1 -to DRAM_DQ[2] set_location_assignment PIN_Y3 -to DRAM_DQ[3] set_location_assignment PIN_Y4 -to DRAM_DQ[4] set_location_assignment PIN_R8 -to DRAM_DQ[5] set_location_assignment PIN_T8 -to DRAM_DQ[6] set_location_assignment PIN_V7 -to DRAM_DQ[7] set_location_assignment PIN_W6 -to DRAM_DQ[8] set_location_assignment PIN_AB2 -to DRAM_DQ[9] set_location_assignment PIN_AB1 -to DRAM_DQ[10] set_location_assignment PIN_AA4 -to DRAM_DQ[11] set_location_assignment PIN_AA3 -to DRAM_DQ[12] set_location_assignment PIN_AC2 -to DRAM_DQ[13] set_location_assignment PIN_AC1 -to DRAM_DQ[14] set_location_assignment PIN_AA5 -to DRAM_DQ[15] set_location_assignment PIN_AD2 -to DRAM_LDQM set_location_assignment PIN_Y5 -to DRAM_UDQM set_location_assignment PIN_AB4 -to DRAM_RAS_N set_location_assignment PIN_AD3 -to DRAM_WE_N set_location_assignment PIN_AC18 -to FL_ADDR[0] set_location_assignment PIN_AB18 -to FL_ADDR[1] set_location_assignment PIN_AE19 -to FL_ADDR[2] set_location_assignment PIN_AF19 -to FL_ADDR[3] set_location_assignment PIN_AE18 -to FL_ADDR[4] set_location_assignment PIN_AF18 -to FL_ADDR[5] set_location_assignment PIN_Y16 -to FL_ADDR[6] set_location_assignment PIN_AA16 -to FL_ADDR[7] set_location_assignment PIN_AD17 -to FL_ADDR[8] set_location_assignment PIN_AC17 -to FL_ADDR[9] set_location_assignment PIN_AE17 -to FL_ADDR[10] set_location_assignment PIN_AF17 -to FL_ADDR[11] set_location_assignment PIN_W16 -to FL_ADDR[12] set_location_assignment PIN_W15 -to FL_ADDR[13] set_location_assignment PIN_AC16 -to FL_ADDR[14] set_location_assignment PIN_AD16 -to FL_ADDR[15] set_location_assignment PIN_AE16 -to FL_ADDR[16] set_location_assignment PIN_AC15 -to FL_ADDR[17] set_location_assignment PIN_AB15 -to FL_ADDR[18] set_location_assignment PIN_AA15 -to FL_ADDR[19] set_location_assignment PIN_V17 -to FL_CE_N set_location_assignment PIN_W17 -to FL_OE_N set_location_assignment PIN_AD19 -to FL_DQ[0] set_location_assignment PIN_AC19 -to FL_DQ[1] set_location_assignment PIN_AF20 -to FL_DQ[2] set_location_assignment PIN_AE20 -to FL_DQ[3] set_location_assignment PIN_AB20 -to FL_DQ[4] set_location_assignment PIN_AC20 -to FL_DQ[5] set_location_assignment PIN_AF21 -to FL_DQ[6] set_location_assignment PIN_AE21 -to FL_DQ[7] set_location_assignment PIN_AA18 -to FL_RST_N set_location_assignment PIN_AA17 -to FL_WE_N set_location_assignment PIN_AF10 -to HEX0[0] set_location_assignment PIN_AB12 -to HEX0[1] set_location_assignment PIN_AC12 -to HEX0[2] set_location_assignment PIN_AD11 -to HEX0[3] set_location_assignment PIN_AE11 -to HEX0[4] set_location_assignment PIN_V14 -to HEX0[5] set_location_assignment PIN_V13 -to HEX0[6] set_location_assignment PIN_V20 -to HEX1[0] set_location_assignment PIN_V21 -to HEX1[1] set_location_assignment PIN_W21 -to HEX1[2] set_location_assignment PIN_Y22 -to HEX1[3] set_location_assignment PIN_AA24 -to HEX1[4] set_location_assignment PIN_AA23 -to HEX1[5] set_location_assignment PIN_AB24 -to HEX1[6] set_location_assignment PIN_AB23 -to HEX2[0] set_location_assignment PIN_V22 -to HEX2[1] set_location_assignment PIN_AC25 -to HEX2[2] set_location_assignment PIN_AC26 -to HEX2[3] set_location_assignment PIN_AB26 -to HEX2[4] set_location_assignment PIN_AB25 -to HEX2[5] set_location_assignment PIN_Y24 -to HEX2[6] set_location_assignment PIN_Y23 -to HEX3[0] set_location_assignment PIN_AA25 -to HEX3[1] set_location_assignment PIN_AA26 -to HEX3[2] set_location_assignment PIN_Y26 -to HEX3[3] set_location_assignment PIN_Y25 -to HEX3[4] set_location_assignment PIN_U22 -to HEX3[5] set_location_assignment PIN_W24 -to HEX3[6] set_location_assignment PIN_U9 -to HEX4[0] set_location_assignment PIN_U1 -to HEX4[1] set_location_assignment PIN_U2 -to HEX4[2] set_location_assignment PIN_T4 -to HEX4[3] set_location_assignment PIN_R7 -to HEX4[4] set_location_assignment PIN_R6 -to HEX4[5] set_location_assignment PIN_T3 -to HEX4[6] set_location_assignment PIN_T2 -to HEX5[0] set_location_assignment PIN_P6 -to HEX5[1] set_location_assignment PIN_P7 -to HEX5[2] set_location_assignment PIN_T9 -to HEX5[3] set_location_assignment PIN_R5 -to HEX5[4] set_location_assignment PIN_R4 -to HEX5[5] set_location_assignment PIN_R3 -to HEX5[6] set_location_assignment PIN_R2 -to HEX6[0] set_location_assignment PIN_P4 -to HEX6[1] set_location_assignment PIN_P3 -to HEX6[2] set_location_assignment PIN_M2 -to HEX6[3] set_location_assignment PIN_M3 -to HEX6[4] set_location_assignment PIN_M5 -to HEX6[5] set_location_assignment PIN_M4 -to HEX6[6] set_location_assignment PIN_L3 -to HEX7[0] set_location_assignment PIN_L2 -to HEX7[1] set_location_assignment PIN_L9 -to HEX7[2] set_location_assignment PIN_L6 -to HEX7[3] set_location_assignment PIN_L7 -to HEX7[4] set_location_assignment PIN_P9 -to HEX7[5] set_location_assignment PIN_N9 -to HEX7[6] set_location_assignment PIN_G26 -to KEY[0] set_location_assignment PIN_N23 -to KEY[1] set_location_assignment PIN_P23 -to KEY[2] set_location_assignment PIN_W26 -to KEY[3] set_location_assignment PIN_AE23 -to LED_RED[0] set_location_assignment PIN_AF23 -to LED_RED[1] set_location_assignment PIN_AB21 -to LED_RED[2] set_location_assignment PIN_AC22 -to LED_RED[3] set_location_assignment PIN_AD22 -to LED_RED[4] set_location_assignment PIN_AD23 -to LED_RED[5] set_location_assignment PIN_AD21 -to LED_RED[6] set_location_assignment PIN_AC21 -to LED_RED[7] set_location_assignment PIN_AA14 -to LED_RED[8] set_location_assignment PIN_Y13 -to LED_RED[9] set_location_assignment PIN_AA13 -to LED_RED[10] set_location_assignment PIN_AC14 -to LED_RED[11] set_location_assignment PIN_AD15 -to LED_RED[12] set_location_assignment PIN_AE15 -to LED_RED[13] set_location_assignment PIN_AF13 -to LED_RED[14] set_location_assignment PIN_AE13 -to LED_RED[15] set_location_assignment PIN_AE12 -to LED_RED[16] set_location_assignment PIN_AD12 -to LED_RED[17] set_location_assignment PIN_AE22 -to LED_GREEN[0] set_location_assignment PIN_AF22 -to LED_GREEN[1] set_location_assignment PIN_W19 -to LED_GREEN[2] set_location_assignment PIN_V18 -to LED_GREEN[3] set_location_assignment PIN_U18 -to LED_GREEN[4] set_location_assignment PIN_U17 -to LED_GREEN[5] set_location_assignment PIN_AA20 -to LED_GREEN[6] set_location_assignment PIN_Y18 -to LED_GREEN[7] set_location_assignment PIN_Y12 -to LED_GREEN[8] set_location_assignment PIN_D13 -to OSC_27 set_location_assignment PIN_N2 -to OSC_50 set_location_assignment PIN_D26 -to PS2_CLK set_location_assignment PIN_C24 -to PS2_DATA set_location_assignment PIN_C25 -to UART_RXD set_location_assignment PIN_B25 -to UART_TXD set_location_assignment PIN_K4 -to LCD_RW set_location_assignment PIN_K3 -to LCD_EN set_location_assignment PIN_K1 -to LCD_RS set_location_assignment PIN_J1 -to LCD_DATA[0] set_location_assignment PIN_J2 -to LCD_DATA[1] set_location_assignment PIN_H1 -to LCD_DATA[2] set_location_assignment PIN_H2 -to LCD_DATA[3] set_location_assignment PIN_J4 -to LCD_DATA[4] set_location_assignment PIN_J3 -to LCD_DATA[5] set_location_assignment PIN_H4 -to LCD_DATA[6] set_location_assignment PIN_H3 -to LCD_DATA[7] set_location_assignment PIN_L4 -to LCD_ON set_location_assignment PIN_K2 -to LCD_BLON set_location_assignment PIN_AE4 -to SRAM_ADDR[0] set_location_assignment PIN_AF4 -to SRAM_ADDR[1] set_location_assignment PIN_AC5 -to SRAM_ADDR[2] set_location_assignment PIN_AC6 -to SRAM_ADDR[3] set_location_assignment PIN_AD4 -to SRAM_ADDR[4] set_location_assignment PIN_AD5 -to SRAM_ADDR[5] set_location_assignment PIN_AE5 -to SRAM_ADDR[6] set_location_assignment PIN_AF5 -to SRAM_ADDR[7] set_location_assignment PIN_AD6 -to SRAM_ADDR[8] set_location_assignment PIN_AD7 -to SRAM_ADDR[9] set_location_assignment PIN_V10 -to SRAM_ADDR[10] set_location_assignment PIN_V9 -to SRAM_ADDR[11] set_location_assignment PIN_AC7 -to SRAM_ADDR[12] set_location_assignment PIN_W8 -to SRAM_ADDR[13] set_location_assignment PIN_W10 -to SRAM_ADDR[14] set_location_assignment PIN_Y10 -to SRAM_ADDR[15] set_location_assignment PIN_AB8 -to SRAM_ADDR[16] set_location_assignment PIN_AC8 -to SRAM_ADDR[17] set_location_assignment PIN_AD8 -to SRAM_DQ[0] set_location_assignment PIN_AE6 -to SRAM_DQ[1] set_location_assignment PIN_AF6 -to SRAM_DQ[2] set_location_assignment PIN_AA9 -to SRAM_DQ[3] set_location_assignment PIN_AA10 -to SRAM_DQ[4] set_location_assignment PIN_AB10 -to SRAM_DQ[5] set_location_assignment PIN_AA11 -to SRAM_DQ[6] set_location_assignment PIN_Y11 -to SRAM_DQ[7] set_location_assignment PIN_AE7 -to SRAM_DQ[8] set_location_assignment PIN_AF7 -to SRAM_DQ[9] set_location_assignment PIN_AE8 -to SRAM_DQ[10] set_location_assignment PIN_AF8 -to SRAM_DQ[11] set_location_assignment PIN_W11 -to SRAM_DQ[12] set_location_assignment PIN_W12 -to SRAM_DQ[13] set_location_assignment PIN_AC9 -to SRAM_DQ[14] set_location_assignment PIN_AC10 -to SRAM_DQ[15] set_location_assignment PIN_AD25 -to SD_CLK set_location_assignment PIN_Y21 -to SD_CMD set_location_assignment PIN_AD24 -to SD_DATA set_location_assignment PIN_A6 -to I2C_CLK set_location_assignment PIN_B6 -to I2C_DATA set_location_assignment PIN_AC11 -to SRAM_CE_N set_location_assignment PIN_AE10 -to SRAM_WE_N set_location_assignment PIN_AD10 -to SRAM_OE_N set_location_assignment PIN_AF9 -to SRAM_UB_N set_location_assignment PIN_AE9 -to SRAM_LB_N set_location_assignment PIN_K7 -to OTG_ADDR[0] set_location_assignment PIN_F2 -to OTG_ADDR[1] set_location_assignment PIN_F1 -to OTG_CS_N set_location_assignment PIN_G2 -to OTG_RD_N set_location_assignment PIN_G1 -to OTG_WR_N set_location_assignment PIN_G5 -to OTG_RST_N set_location_assignment PIN_F3 -to OTG_HWKUP set_location_assignment PIN_G6 -to OTG_DWKUP set_location_assignment PIN_F4 -to OTG_DATA[0] set_location_assignment PIN_D2 -to OTG_DATA[1] set_location_assignment PIN_D1 -to OTG_DATA[2] set_location_assignment PIN_F7 -to OTG_DATA[3] set_location_assignment PIN_J5 -to OTG_DATA[4] set_location_assignment PIN_J8 -to OTG_DATA[5] set_location_assignment PIN_J7 -to OTG_DATA[6] set_location_assignment PIN_H6 -to OTG_DATA[7] set_location_assignment PIN_E2 -to OTG_DATA[8] set_location_assignment PIN_E1 -to OTG_DATA[9] set_location_assignment PIN_K6 -to OTG_DATA[10] set_location_assignment PIN_K5 -to OTG_DATA[11] set_location_assignment PIN_G4 -to OTG_DATA[12] set_location_assignment PIN_G3 -to OTG_DATA[13] set_location_assignment PIN_J6 -to OTG_DATA[14] set_location_assignment PIN_K8 -to OTG_DATA[15] set_location_assignment PIN_B3 -to OTG_INT0 set_location_assignment PIN_C3 -to OTG_INT1 set_location_assignment PIN_C2 -to OTG_DACK0_N set_location_assignment PIN_B2 -to OTG_DACK1_N set_location_assignment PIN_F6 -to OTG_DREQ0 set_location_assignment PIN_E5 -to OTG_DREQ1 set_location_assignment PIN_C4 -to TD_RESET set_location_assignment PIN_D6 -to VGA_BLANK set_location_assignment PIN_B7 -to VGA_SYNC set_location_assignment PIN_A7 -to VGA_HS set_location_assignment PIN_D8 -to VGA_VS set_location_assignment PIN_C8 -to VGA_R[0] set_location_assignment PIN_F10 -to VGA_R[1] set_location_assignment PIN_G10 -to VGA_R[2] set_location_assignment PIN_D9 -to VGA_R[3] set_location_assignment PIN_C9 -to VGA_R[4] set_location_assignment PIN_A8 -to VGA_R[5] set_location_assignment PIN_H11 -to VGA_R[6] set_location_assignment PIN_H12 -to VGA_R[7] set_location_assignment PIN_F11 -to VGA_R[8] set_location_assignment PIN_E10 -to VGA_R[9] set_location_assignment PIN_B9 -to VGA_G[0] set_location_assignment PIN_A9 -to VGA_G[1] set_location_assignment PIN_C10 -to VGA_G[2] set_location_assignment PIN_D10 -to VGA_G[3] set_location_assignment PIN_B10 -to VGA_G[4] set_location_assignment PIN_A10 -to VGA_G[5] set_location_assignment PIN_G11 -to VGA_G[6] set_location_assignment PIN_D11 -to VGA_G[7] set_location_assignment PIN_E12 -to VGA_G[8] set_location_assignment PIN_D12 -to VGA_G[9] set_location_assignment PIN_J13 -to VGA_B[0] set_location_assignment PIN_J14 -to VGA_B[1] set_location_assignment PIN_F12 -to VGA_B[2] set_location_assignment PIN_G12 -to VGA_B[3] set_location_assignment PIN_J10 -to VGA_B[4] set_location_assignment PIN_J11 -to VGA_B[5] set_location_assignment PIN_C11 -to VGA_B[6] set_location_assignment PIN_B11 -to VGA_B[7] set_location_assignment PIN_C12 -to VGA_B[8] set_location_assignment PIN_B12 -to VGA_B[9] set_location_assignment PIN_B8 -to VGA_CLK # Analysis & Synthesis Assignments # ================================ set_global_assignment -name FAMILY "Cyclone II" set_global_assignment -name TOP_LEVEL_ENTITY DE2_NIOS_HOST_MOUSE_VGA set_global_assignment -name AUTO_ENABLE_SMART_COMPILE on # Fitter Assignments # ================== set_global_assignment -name DEVICE EP2C35F672C8 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 set_instance_assignment -name IO_STANDARD LVTTL -to LCD_RW set_instance_assignment -name IO_STANDARD LVTTL -to LCD_EN set_instance_assignment -name IO_STANDARD LVTTL -to LCD_RS set_instance_assignment -name IO_STANDARD LVTTL -to LCD_DATA[0] set_instance_assignment -name IO_STANDARD LVTTL -to LCD_DATA[1] set_instance_assignment -name IO_STANDARD LVTTL -to LCD_DATA[2] set_instance_assignment -name IO_STANDARD LVTTL -to LCD_DATA[3] set_instance_assignment -name IO_STANDARD LVTTL -to LCD_DATA[4] set_instance_assignment -name IO_STANDARD LVTTL -to LCD_DATA[5] set_instance_assignment -name IO_STANDARD LVTTL -to LCD_DATA[6] set_instance_assignment -name IO_STANDARD LVTTL -to LCD_DATA[7] set_instance_assignment -name IO_STANDARD LVTTL -to LCD_ON set_instance_assignment -name IO_STANDARD LVTTL -to LCD_BLON set_instance_assignment -name IO_STANDARD LVTTL -to LED_GREEN[0] set_instance_assignment -name IO_STANDARD LVTTL -to LED_GREEN[1] set_instance_assignment -name IO_STANDARD LVTTL -to LED_GREEN[2] set_instance_assignment -name IO_STANDARD LVTTL -to LED_GREEN[3] set_instance_assignment -name IO_STANDARD LVTTL -to LED_GREEN[4] set_instance_assignment -name IO_STANDARD LVTTL -to LED_GREEN[5] set_instance_assignment -name IO_STANDARD LVTTL -to LED_GREEN[6] set_instance_assignment -name IO_STANDARD LVTTL -to LED_GREEN[7] set_instance_assignment -name IO_STANDARD LVTTL -to LED_GREEN[8] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_BLANK set_instance_assignment -name IO_STANDARD LVTTL -to VGA_SYNC set_instance_assignment -name IO_STANDARD LVTTL -to VGA_HS set_instance_assignment -name IO_STANDARD LVTTL -to VGA_VS set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[0] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[1] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[2] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[3] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[4] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[5] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[6] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[7] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[8] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[9] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[0] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[1] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[2] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[3] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[4] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[5] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[6] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[7] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[8] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[9] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[0] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[1] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[2] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[3] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[4] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[5] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[6] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[7] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[8] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[9] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_CLK # SignalTap II Assignments # ======================== set_global_assignment -name ENABLE_SIGNALTAP off set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp # ------------------- # start CLOCK(OSC_50) # Timing Assignments # ================== set_global_assignment -name FMAX_REQUIREMENT "50.0 MHz" -section_id OSC_50 # end CLOCK(OSC_50) # ----------------- set_location_assignment PIN_Y15 -to FL_ADDR[20] set_location_assignment PIN_Y14 -to FL_ADDR[21] set_instance_assignment -name IO_STANDARD LVTTL -to FL_ADDR[20] set_instance_assignment -name IO_STANDARD LVTTL -to FL_ADDR[21]